From 042f09e0f1711ffe4069b18a92a61d78c43bfdfd Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9mi=20Heredero?= Date: Thu, 20 Jan 2022 22:02:29 +0100 Subject: [PATCH] work with 2 small bugs sometimes won't go back to pos2, and problem if many push in same button --- Board/concat/eln_cursor.ucf | 10 +- .../.hdlsidedata/_fpga_cursor_entity.vhg._fpf | 2 +- .../.hdlsidedata/_fpga_cursor_struct.vhg._fpf | 2 +- Board/hds/@f@p@g@a_cursor/struct.bd | 492 +- .../hds/.hdlsidedata/_driver2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_driver2_struct.vhg._fpf | 1 + Cursor/hds/.hdlsidedata/_if0_entity.vhg._fpf | 1 + Cursor/hds/.hdlsidedata/_if0_fsm.vhg._fpf | 1 + .../hds/.hdlsidedata/_main2_entity.vhg._fpf | 1 + Cursor/hds/.hdlsidedata/_main2_fsm.vhg._fpf | 1 + Cursor/hds/.hdlsidedata/_main_fsm.vhg._fpf | 1 + Cursor/hds/@button@block/button@diagram.bd | 82 +- Cursor/hds/@button@block/interface | 287 +- Cursor/hds/@compteur/compteurt.bd | 630 +- Cursor/hds/@compteur/interface | 213 +- Cursor/hds/@counter_@controller/fsm.sm | 133 +- .../{interface => symbol.sb} | 76 +- Cursor/hds/@driver/drivert.bd | 2360 ++- Cursor/hds/@driver/symbol.sb | 345 +- Cursor/hds/@encoder/encoder.sm | 250 +- Cursor/hds/@encoder/interface | 195 +- Cursor/hds/@main/fsm.sm | 15064 +++++++++++++++ Cursor/hds/@main/struct.bd | 126 +- Cursor/hds/@main/symbol.sb | 86 +- Cursor/hds/@main2/fsm.sm | 15509 ++++++++++++++++ Cursor/hds/@main2/interface | 1972 ++ Cursor/hds/@motor_side/fsm.sm | 741 +- .../hds/@motor_side/{interface => symbol.sb} | 76 +- Cursor/hds/@p@w@m/fsm.sm | 191 +- Cursor/hds/@p@w@m/{interface => symbol.sb} | 48 +- Cursor/hds/@position@block/interface | 238 +- .../hds/@position@block/position@diagram.bd | 110 +- Cursor/hds/@position@block/struct.bd | 2852 +++ Cursor/hds/_driver2._epf | 2 + Cursor/hds/_if0._epf | 2 + Cursor/hds/_if1._epf | 2 + Cursor/hds/_main._epf | 4 +- Cursor/hds/_main2._epf | 2 + Cursor/hds/accelerator/interface | 183 +- Cursor/hds/button_position/fsm.sm | 195 +- Cursor/hds/button_position/interface | 90 +- .../hds/compteur@up@down@rsync@all/interface | 449 +- .../hds/compteur@up@down@rsync@all/struct.bd | 3699 +++- Cursor/hds/convertissor_position/fsm.sm | 826 +- Cursor/hds/convertissor_position/interface | 441 +- Cursor/hds/cpt1bit/struct.bd | 268 +- Cursor/hds/cpt1bit/symbol.sb | 75 +- Cursor/hds/cpt4bit/struct.bd | 112 +- Cursor/hds/cpt4bit/symbol.sb | 97 +- Cursor/hds/cursor@circuit/student@version.bd | 5559 ++++-- Cursor/hds/cursor@circuit/symbol.sb | 344 +- Cursor/hds/driver2/interface | 1710 ++ Cursor/hds/driver2/struct.bd | 4913 +++++ Cursor/hds/enable_acceleration/interface | 204 +- Cursor/hds/if0/fsm.sm | 3404 ++++ Cursor/hds/if0/symbol.sb | 1522 ++ Cursor/hds/if1/fsm.sm | 3027 +++ Cursor/hds/if1/interface | 1521 ++ Cursor/hds/move/interface | 290 +- Cursor/hds/process_acceleration/interface | 200 +- Cursor/hds/process_acceleration/struct1.bd | 40 +- Cursor/hds/process_cruse/interface | 200 +- Cursor/hds/process_deceleration/interface | 218 +- Cursor/hds/selector_acceleration/interface | 208 +- Cursor/hds/selector_cruse/interface | 198 +- Cursor/hds/selector_deceleration/fsm.sm | 70 +- Cursor/hds/selector_deceleration/interface | 216 +- Cursor/hds/set_position/interface | 136 +- Cursor/hds/side_acceleration/fsm.sm | 64 +- Cursor/hds/side_acceleration/interface | 143 +- .../hdl/pulseWidthModulator_tester_.vhd | 29 + .../_cursor_tester_entity.vhg._fpf | 2 +- .../.hdlsidedata/_cursor_tester_test.vhd._fpf | 2 +- .../_pulseWidthModulator_tester_.vhd._fpf | 4 + .../_pulsewidthmodulator_tb_entity.vhg._fpf | 1 + ...pulsewidthmodulator_tester_entity.vhg._fpf | 1 + Cursor_test/hds/_pulsewidthmodulator_tb._epf | 2 + Cursor_test/hds/_pwmtest._epf | 2 + Cursor_test/hds/cursor_tb/struct.bd | 265 +- Cursor_test/hds/cursor_tester/interface | 671 +- Cursor_test/hds/cursor_tester/test.vhd | 118 - .../hds/pulse@width@modulator_tb/struct.bd | 1844 +- .../hds/pulse@width@modulator_tb/symbol.sb | 58 +- .../pulse@width@modulator_tester/interface | 459 +- Cursor_test/hds/pwmtest/fsm.sm | 4369 +++++ Cursor_test/hds/pwmtest/interface | 1576 ++ .../.hdlsidedata/_helloworld_entity.vhg._fpf | 2 +- .../_lcdcharacterencoder_entity.vhg._fpf | 2 +- .../_lcdinitializer_entity.vhg._fpf | 2 +- .../_lcdserializer_entity.vhg._fpf | 2 +- Prefs/hds_team/v2019.4/hds_team_prefs | 55 + Prefs/hds_team/v2019.4/title_block.tmpl | 273 + Prefs/hds_user/v2019.2/hds_user_prefs | 394 +- .../v2019.2/tasks/modelsim_simulate.tsk | 4 +- Simulation/cursor.do | 35 +- TODO.txt | 0 errors_simu_1.log | 266 - 97 files changed, 73520 insertions(+), 9649 deletions(-) create mode 100644 Cursor/hds/.hdlsidedata/_driver2_entity.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_driver2_struct.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_if0_entity.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_if0_fsm.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_main2_entity.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_main2_fsm.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_main_fsm.vhg._fpf rename Cursor/hds/@counter_@controller/{interface => symbol.sb} (97%) create mode 100644 Cursor/hds/@main/fsm.sm create mode 100644 Cursor/hds/@main2/fsm.sm create mode 100644 Cursor/hds/@main2/interface rename Cursor/hds/@motor_side/{interface => symbol.sb} (97%) rename Cursor/hds/@p@w@m/{interface => symbol.sb} (99%) create mode 100644 Cursor/hds/@position@block/struct.bd create mode 100644 Cursor/hds/_driver2._epf create mode 100644 Cursor/hds/_if0._epf create mode 100644 Cursor/hds/_if1._epf create mode 100644 Cursor/hds/_main2._epf create mode 100644 Cursor/hds/driver2/interface create mode 100644 Cursor/hds/driver2/struct.bd create mode 100644 Cursor/hds/if0/fsm.sm create mode 100644 Cursor/hds/if0/symbol.sb create mode 100644 Cursor/hds/if1/fsm.sm create mode 100644 Cursor/hds/if1/interface create mode 100644 Cursor_test/hdl/pulseWidthModulator_tester_.vhd create mode 100644 Cursor_test/hds/.hdlsidedata/_pulseWidthModulator_tester_.vhd._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tb_entity.vhg._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tester_entity.vhg._fpf create mode 100644 Cursor_test/hds/_pulsewidthmodulator_tb._epf create mode 100644 Cursor_test/hds/_pwmtest._epf delete mode 100644 Cursor_test/hds/cursor_tester/test.vhd create mode 100644 Cursor_test/hds/pwmtest/fsm.sm create mode 100644 Cursor_test/hds/pwmtest/interface create mode 100644 Prefs/hds_team/v2019.4/hds_team_prefs create mode 100644 Prefs/hds_team/v2019.4/title_block.tmpl delete mode 100644 TODO.txt delete mode 100644 errors_simu_1.log diff --git a/Board/concat/eln_cursor.ucf b/Board/concat/eln_cursor.ucf index 5a570c1..c4fafba 100644 --- a/Board/concat/eln_cursor.ucf +++ b/Board/concat/eln_cursor.ucf @@ -68,11 +68,11 @@ NET "LEDs<8>" LOC = "E8" ; #------------------------------------------------------------------------------- # LCD # -NET "LCD_CS1_n" LOC = "A11"; -NET "LCD_SCL" LOC = "D7" ; -NET "LCD_SI" LOC = "C7" ; -NET "LCD_A0" LOC = "A14"; -NET "LCD_RST_n" LOC = "A13"; +#NET "LCD_CS1_n" LOC = "A11"; +#NET "LCD_SCL" LOC = "D7" ; +#NET "LCD_SI" LOC = "C7" ; +#NET "LCD_A0" LOC = "A14"; +#NET "LCD_RST_n" LOC = "A13"; #------------------------------------------------------------------------------- # Globals diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf index 3eea781..376bb70 100644 --- a/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf +++ b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_ANY +DIALECT atom VHDL_2008 diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf index 3eea781..376bb70 100644 --- a/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf +++ b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_ANY +DIALECT atom VHDL_2008 diff --git a/Board/hds/@f@p@g@a_cursor/struct.bd b/Board/hds/@f@p@g@a_cursor/struct.bd index 42697e6..5f7e766 100644 --- a/Board/hds/@f@p@g@a_cursor/struct.bd +++ b/Board/hds/@f@p@g@a_cursor/struct.bd @@ -352,7 +352,7 @@ value "testLineNb" ) ] mwi 0 -uid 5636,0 +uid 6730,0 ) ] embeddedInstances [ @@ -383,23 +383,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds" ) (vvPair variable "appl" @@ -419,15 +419,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" ) (vvPair variable "date" -value "30.11.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -439,7 +439,7 @@ value "mardi" ) (vvPair variable "dd" -value "30" +value "18" ) (vvPair variable "designName" @@ -467,11 +467,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "30.11.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -479,11 +479,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "16:00:02" +value "16:14:14" ) (vvPair variable "group" @@ -491,7 +491,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -523,7 +523,7 @@ value "U:\\ELN_cursor\\Synthesis" ) (vvPair variable "mm" -value "11" +value "01" ) (vvPair variable "module_name" @@ -531,19 +531,19 @@ value "FPGA_cursor" ) (vvPair variable "month" -value "nov." +value "janv." ) (vvPair variable "month_long" -value "novembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\struct.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\struct.bd" ) (vvPair variable "package_name" @@ -611,7 +611,7 @@ value "struct" ) (vvPair variable "time" -value "16:00:02" +value "16:14:14" ) (vvPair variable "unit" @@ -619,7 +619,7 @@ value "FPGA_cursor" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -631,11 +631,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -741,7 +741,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "94200,116400,108700,117600" +xt "94200,116400,112200,117600" st " by %user on %dd %month %year " @@ -7429,14 +7429,32 @@ xt "0,74600,15600,75800" st "LCD_RST_n : std_ulogic" ) ) -*255 (SaComponent -uid 5636,0 +*255 (Net +uid 5716,0 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 55,0 +) +declText (MLText +uid 5717,0 +va (VaSet +isHidden 1 +) +xt "0,0,22900,1200" +st "LEDs : std_uLogic_vector(1 TO 8)" +) +) +*256 (SaComponent +uid 6730,0 optionalChildren [ -*256 (CptPort -uid 5531,0 +*257 (CptPort +uid 6646,0 ps "OnEdgeStrategy" shape (Triangle -uid 5532,0 +uid 6647,0 ro 90 va (VaSet vasetType 1 @@ -7445,33 +7463,33 @@ fg "0,65535,0" xt "67250,77625,68000,78375" ) tg (CPTG -uid 5533,0 +uid 6648,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5534,0 +uid 6649,0 va (VaSet font "Verdana,12,0" ) -xt "69000,77300,72500,78600" +xt "69000,77300,72800,78700" st "clock" -blo "69000,78300" +blo "69000,78500" ) ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) ) ) -*257 (CptPort -uid 5536,0 +*258 (CptPort +uid 6650,0 ps "OnEdgeStrategy" shape (Triangle -uid 5537,0 +uid 6651,0 ro 90 va (VaSet vasetType 1 @@ -7480,33 +7498,33 @@ fg "0,65535,0" xt "67250,79625,68000,80375" ) tg (CPTG -uid 5538,0 +uid 6652,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5539,0 +uid 6653,0 va (VaSet font "Verdana,12,0" ) -xt "69000,79300,72500,80600" +xt "69000,79300,73100,80700" st "reset" -blo "69000,80300" +blo "69000,80500" ) ) thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 2,0 ) ) ) -*258 (CptPort -uid 5541,0 +*259 (CptPort +uid 6654,0 ps "OnEdgeStrategy" shape (Triangle -uid 5542,0 +uid 6655,0 ro 90 va (VaSet vasetType 1 @@ -7515,18 +7533,18 @@ fg "0,65535,0" xt "84000,55625,84750,56375" ) tg (CPTG -uid 5543,0 +uid 6656,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5544,0 +uid 6657,0 va (VaSet font "Verdana,12,0" ) -xt "79500,55400,83000,56700" +xt "78800,55400,83000,56800" st "side1" ju 2 -blo "83000,56400" +blo "83000,56600" ) ) thePort (LogicalPort @@ -7539,11 +7557,11 @@ suid 3,0 ) ) ) -*259 (CptPort -uid 5546,0 +*260 (CptPort +uid 6658,0 ps "OnEdgeStrategy" shape (Triangle -uid 5547,0 +uid 6659,0 ro 90 va (VaSet vasetType 1 @@ -7552,17 +7570,17 @@ fg "0,65535,0" xt "67250,53625,68000,54375" ) tg (CPTG -uid 5548,0 +uid 6660,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5549,0 +uid 6661,0 va (VaSet font "Verdana,12,0" ) -xt "69000,53300,73900,54600" +xt "69000,53300,74100,54700" st "restart" -blo "69000,54300" +blo "69000,54500" ) ) thePort (LogicalPort @@ -7574,11 +7592,11 @@ suid 4,0 ) ) ) -*260 (CptPort -uid 5551,0 +*261 (CptPort +uid 6662,0 ps "OnEdgeStrategy" shape (Triangle -uid 5552,0 +uid 6663,0 ro 90 va (VaSet vasetType 1 @@ -7587,17 +7605,17 @@ fg "0,65535,0" xt "67250,57625,68000,58375" ) tg (CPTG -uid 5553,0 +uid 6664,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5554,0 +uid 6665,0 va (VaSet font "Verdana,12,0" ) -xt "69000,57300,71100,58600" +xt "69000,57300,72200,58700" st "go2" -blo "69000,58300" +blo "69000,58500" ) ) thePort (LogicalPort @@ -7609,11 +7627,11 @@ suid 5,0 ) ) ) -*261 (CptPort -uid 5556,0 +*262 (CptPort +uid 6666,0 ps "OnEdgeStrategy" shape (Triangle -uid 5557,0 +uid 6667,0 ro 270 va (VaSet vasetType 1 @@ -7622,18 +7640,18 @@ fg "0,65535,0" xt "84000,61625,84750,62375" ) tg (CPTG -uid 5558,0 +uid 6668,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5559,0 +uid 6669,0 va (VaSet font "Verdana,12,0" ) -xt "78100,61400,83000,62700" +xt "77100,61400,83000,62800" st "sensor1" ju 2 -blo "83000,62400" +blo "83000,62600" ) ) thePort (LogicalPort @@ -7645,11 +7663,11 @@ suid 6,0 ) ) ) -*262 (CptPort -uid 5561,0 +*263 (CptPort +uid 6670,0 ps "OnEdgeStrategy" shape (Triangle -uid 5562,0 +uid 6671,0 ro 90 va (VaSet vasetType 1 @@ -7658,17 +7676,17 @@ fg "0,65535,0" xt "67250,75625,68000,76375" ) tg (CPTG -uid 5563,0 +uid 6672,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5564,0 +uid 6673,0 va (VaSet font "Verdana,12,0" ) -xt "69000,75300,74600,76600" +xt "69000,75300,75700,76700" st "testMode" -blo "69000,76300" +blo "69000,76500" ) ) thePort (LogicalPort @@ -7680,48 +7698,11 @@ suid 7,0 ) ) ) -*263 (CptPort -uid 5566,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 5567,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "75625,49250,76375,50000" -) -tg (CPTG -uid 5568,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 5569,0 -va (VaSet -font "Verdana,12,0" -) -xt "73700,51000,78600,52300" -st "testOut" -ju 2 -blo "78600,52000" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 -suid 8,0 -) -) -) *264 (CptPort -uid 5571,0 +uid 6674,0 ps "OnEdgeStrategy" shape (Triangle -uid 5572,0 +uid 6675,0 ro 90 va (VaSet vasetType 1 @@ -7730,17 +7711,17 @@ fg "0,65535,0" xt "67250,55625,68000,56375" ) tg (CPTG -uid 5573,0 +uid 6676,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5574,0 +uid 6677,0 va (VaSet font "Verdana,12,0" ) -xt "69000,55300,71100,56600" +xt "69000,55300,72200,56700" st "go1" -blo "69000,56300" +blo "69000,56500" ) ) thePort (LogicalPort @@ -7753,10 +7734,10 @@ suid 9,0 ) ) *265 (CptPort -uid 5576,0 +uid 6678,0 ps "OnEdgeStrategy" shape (Triangle -uid 5577,0 +uid 6679,0 ro 90 va (VaSet vasetType 1 @@ -7765,18 +7746,18 @@ fg "0,65535,0" xt "84000,57625,84750,58375" ) tg (CPTG -uid 5578,0 +uid 6680,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5579,0 +uid 6681,0 va (VaSet font "Verdana,12,0" ) -xt "79500,57400,83000,58700" +xt "78800,57400,83000,58800" st "side2" ju 2 -blo "83000,58400" +blo "83000,58600" ) ) thePort (LogicalPort @@ -7790,10 +7771,10 @@ suid 10,0 ) ) *266 (CptPort -uid 5581,0 +uid 6682,0 ps "OnEdgeStrategy" shape (Triangle -uid 5582,0 +uid 6683,0 ro 270 va (VaSet vasetType 1 @@ -7802,18 +7783,18 @@ fg "0,65535,0" xt "84000,63625,84750,64375" ) tg (CPTG -uid 5583,0 +uid 6684,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5584,0 +uid 6685,0 va (VaSet font "Verdana,12,0" ) -xt "81100,37350,86000,38650" +xt "77100,63300,83000,64700" st "sensor2" ju 2 -blo "86000,38350" +blo "83000,64500" ) ) thePort (LogicalPort @@ -7826,10 +7807,10 @@ suid 11,0 ) ) *267 (CptPort -uid 5586,0 +uid 6686,0 ps "OnEdgeStrategy" shape (Triangle -uid 5587,0 +uid 6687,0 ro 90 va (VaSet vasetType 1 @@ -7838,18 +7819,18 @@ fg "0,65535,0" xt "84000,53625,84750,54375" ) tg (CPTG -uid 5588,0 +uid 6688,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5589,0 +uid 6689,0 va (VaSet font "Verdana,12,0" ) -xt "78100,53400,83000,54700" +xt "76700,53400,83000,54800" st "motorOn" ju 2 -blo "83000,54400" +blo "83000,54600" ) ) thePort (LogicalPort @@ -7863,10 +7844,10 @@ suid 12,0 ) ) *268 (CptPort -uid 5591,0 +uid 6690,0 ps "OnEdgeStrategy" shape (Triangle -uid 5592,0 +uid 6691,0 ro 270 va (VaSet vasetType 1 @@ -7875,18 +7856,18 @@ fg "0,65535,0" xt "84000,67625,84750,68375" ) tg (CPTG -uid 5593,0 +uid 6692,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5594,0 +uid 6693,0 va (VaSet font "Verdana,12,0" ) -xt "77400,67400,83000,68700" +xt "76300,67400,83000,68800" st "encoderA" ju 2 -blo "83000,68400" +blo "83000,68600" ) ) thePort (LogicalPort @@ -7899,10 +7880,10 @@ suid 13,0 ) ) *269 (CptPort -uid 5596,0 +uid 6694,0 ps "OnEdgeStrategy" shape (Triangle -uid 5597,0 +uid 6695,0 ro 270 va (VaSet vasetType 1 @@ -7911,18 +7892,18 @@ fg "0,65535,0" xt "84000,69625,84750,70375" ) tg (CPTG -uid 5598,0 +uid 6696,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5599,0 +uid 6697,0 va (VaSet font "Verdana,12,0" ) -xt "77400,69400,83000,70700" +xt "76300,69400,83000,70800" st "encoderB" ju 2 -blo "83000,70400" +blo "83000,70600" ) ) thePort (LogicalPort @@ -7935,10 +7916,10 @@ suid 14,0 ) ) *270 (CptPort -uid 5601,0 +uid 6698,0 ps "OnEdgeStrategy" shape (Triangle -uid 5602,0 +uid 6699,0 ro 270 va (VaSet vasetType 1 @@ -7947,18 +7928,18 @@ fg "0,65535,0" xt "84000,71625,84750,72375" ) tg (CPTG -uid 5603,0 +uid 6700,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5604,0 +uid 6701,0 va (VaSet font "Verdana,12,0" ) -xt "77400,71400,83000,72700" +xt "76600,71400,83000,72800" st "encoderI" ju 2 -blo "83000,72400" +blo "83000,72600" ) ) thePort (LogicalPort @@ -7971,10 +7952,10 @@ suid 15,0 ) ) *271 (CptPort -uid 5606,0 +uid 6702,0 ps "OnEdgeStrategy" shape (Triangle -uid 5607,0 +uid 6703,0 ro 90 va (VaSet vasetType 1 @@ -7983,33 +7964,34 @@ fg "0,65535,0" xt "67250,59625,68000,60375" ) tg (CPTG -uid 5608,0 +uid 6704,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5609,0 +uid 6705,0 va (VaSet font "Verdana,12,0" ) -xt "69000,59300,73900,60600" +xt "69000,59300,74800,60700" st "button4" -blo "69000,60300" +blo "69000,60500" ) ) thePort (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 16,0 ) ) ) *272 (CptPort -uid 5611,0 +uid 6706,0 ps "OnEdgeStrategy" shape (Triangle -uid 5612,0 +uid 6707,0 ro 270 va (VaSet vasetType 1 @@ -8018,17 +8000,17 @@ fg "0,65535,0" xt "67250,63625,68000,64375" ) tg (CPTG -uid 5613,0 +uid 6708,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5614,0 +uid 6709,0 va (VaSet font "Verdana,12,0" ) -xt "69000,63300,72500,64600" +xt "69000,63300,73900,64700" st "CS1_n" -blo "69000,64300" +blo "69000,64500" ) ) thePort (LogicalPort @@ -8042,10 +8024,10 @@ suid 2017,0 ) ) *273 (CptPort -uid 5616,0 +uid 6710,0 ps "OnEdgeStrategy" shape (Triangle -uid 5617,0 +uid 6711,0 ro 270 va (VaSet vasetType 1 @@ -8054,17 +8036,17 @@ fg "0,65535,0" xt "67250,65625,68000,66375" ) tg (CPTG -uid 5618,0 +uid 6712,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5619,0 +uid 6713,0 va (VaSet font "Verdana,12,0" ) -xt "69000,65300,71100,66600" +xt "69000,65300,72200,66700" st "SCL" -blo "69000,66300" +blo "69000,66500" ) ) thePort (LogicalPort @@ -8078,10 +8060,10 @@ suid 2018,0 ) ) *274 (CptPort -uid 5621,0 +uid 6714,0 ps "OnEdgeStrategy" shape (Triangle -uid 5622,0 +uid 6715,0 ro 270 va (VaSet vasetType 1 @@ -8090,17 +8072,17 @@ fg "0,65535,0" xt "67250,67625,68000,68375" ) tg (CPTG -uid 5623,0 +uid 6716,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5624,0 +uid 6717,0 va (VaSet font "Verdana,12,0" ) -xt "69000,67300,70400,68600" +xt "69000,67300,71100,68700" st "SI" -blo "69000,68300" +blo "69000,68500" ) ) thePort (LogicalPort @@ -8114,10 +8096,10 @@ suid 2019,0 ) ) *275 (CptPort -uid 5626,0 +uid 6718,0 ps "OnEdgeStrategy" shape (Triangle -uid 5627,0 +uid 6719,0 ro 270 va (VaSet vasetType 1 @@ -8126,17 +8108,17 @@ fg "0,65535,0" xt "67250,69625,68000,70375" ) tg (CPTG -uid 5628,0 +uid 6720,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5629,0 +uid 6721,0 va (VaSet font "Verdana,12,0" ) -xt "69000,69300,70400,70600" +xt "69000,69300,71400,70700" st "A0" -blo "69000,70300" +blo "69000,70500" ) ) thePort (LogicalPort @@ -8150,10 +8132,10 @@ suid 2020,0 ) ) *276 (CptPort -uid 5631,0 +uid 6722,0 ps "OnEdgeStrategy" shape (Triangle -uid 5632,0 +uid 6723,0 ro 270 va (VaSet vasetType 1 @@ -8162,17 +8144,17 @@ fg "0,65535,0" xt "67250,71625,68000,72375" ) tg (CPTG -uid 5633,0 +uid 6724,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5634,0 +uid 6725,0 va (VaSet font "Verdana,12,0" ) -xt "69000,71300,72500,72600" +xt "69000,71300,73700,72700" st "RST_n" -blo "69000,72300" +blo "69000,72500" ) ) thePort (LogicalPort @@ -8185,9 +8167,47 @@ suid 2021,0 ) ) ) +*277 (CptPort +uid 6726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6727,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,49250,76375,50000" +) +tg (CPTG +uid 6728,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6729,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "75300,51000,76700,56600" +st "testOut" +ju 2 +blo "76500,51000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 to 16)" +o 21 +suid 2022,0 +) +) +) ] shape (Rectangle -uid 5637,0 +uid 6731,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -8198,53 +8218,53 @@ xt "68000,50000,84000,82000" ) oxt "40000,2000,56000,34000" ttg (MlTextGroup -uid 5638,0 +uid 6732,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*277 (Text -uid 5639,0 +*278 (Text +uid 6733,0 va (VaSet font "Verdana,8,1" ) -xt "68100,81700,71100,82600" +xt "68100,81700,71800,82700" st "Cursor" -blo "68100,82400" +blo "68100,82500" tm "BdLibraryNameMgr" ) -*278 (Text -uid 5640,0 +*279 (Text +uid 6734,0 va (VaSet font "Verdana,8,1" ) -xt "68100,82700,75100,83600" +xt "68100,82700,75400,83700" st "cursorCircuit" -blo "68100,83400" +blo "68100,83500" tm "CptNameMgr" ) -*279 (Text -uid 5641,0 +*280 (Text +uid 6735,0 va (VaSet font "Verdana,8,1" ) -xt "68100,83700,69100,84600" +xt "68100,83700,69700,84700" st "I0" -blo "68100,84400" +blo "68100,84500" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation -uid 5642,0 +uid 6736,0 ps "EdgeToEdgeStrategy" matrix (Matrix -uid 5643,0 +uid 6737,0 text (MLText -uid 5644,0 +uid 6738,0 va (VaSet -font "Verdana,8,0" +font "Courier New,8,0" ) -xt "68000,85200,90000,91200" +xt "68000,85200,95500,90000" st "position0 = position0 ( positive ) position1 = position1 ( positive ) position2 = position2 ( positive ) @@ -8293,24 +8313,6 @@ sT 1 ) archFileType "UNKNOWN" ) -*280 (Net -uid 5716,0 -decl (Decl -n "LEDs" -t "std_uLogic_vector" -b "(1 TO 8)" -o 20 -suid 55,0 -) -declText (MLText -uid 5717,0 -va (VaSet -isHidden 1 -) -xt "0,0,22900,1200" -st "LEDs : std_uLogic_vector(1 TO 8)" -) -) *281 (Wire uid 1317,0 shape (OrthoPolyLine @@ -8364,7 +8366,7 @@ pts [ "28000,78000" ] ) -start &256 +start &257 end &13 es 0 sat 32 @@ -8405,7 +8407,7 @@ pts [ "55750,82000" ] ) -start &257 +start &258 end &85 es 0 sat 32 @@ -8444,7 +8446,7 @@ pts [ "28000,76000" ] ) -start &262 +start &263 end &28 sat 32 eat 32 @@ -8675,7 +8677,7 @@ pts [ ] ) start &34 -end &260 +end &261 sat 32 eat 32 stc 0 @@ -8903,7 +8905,7 @@ pts [ ] ) start &52 -end &259 +end &260 sat 32 eat 32 stc 0 @@ -9133,7 +9135,7 @@ pts [ "120000,46000" ] ) -start &258 +start &259 end &66 sat 32 eat 32 @@ -9443,11 +9445,13 @@ lineWidth 2 xt "76000,34000,92000,49250" pts [ "76000,49250" -"76000,34000" +"76000,46000" +"84000,46000" +"84000,34000" "92000,34000" ] ) -start &263 +start &277 end &103 sat 32 eat 1 @@ -9871,7 +9875,7 @@ pts [ "97000,52000" ] ) -start &261 +start &262 end &156 sat 32 eat 32 @@ -10096,7 +10100,7 @@ blo "117000,35800" tm "WireNameMgr" ) ) -on &280 +on &255 ) *326 (Wire uid 4193,0 @@ -10994,8 +10998,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,936" -viewArea "18900,16144,139328,90480" +windowSize "288,54,1831,990" +viewArea "18900,16100,139880,87860" cachedDiagramExtent "-53000,0,180750,118000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\SUN\\PREA203_HPLJ2430DTN.PRINTERS.SYSTEM.SION.HEVs,winspool," @@ -11022,7 +11026,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" -lastUid 6204,0 +lastUid 6951,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/.hdlsidedata/_driver2_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_driver2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_driver2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_driver2_struct.vhg._fpf b/Cursor/hds/.hdlsidedata/_driver2_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_driver2_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_if0_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_if0_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_if0_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_if0_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_if0_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_if0_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_main2_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_main2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_main2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_main2_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_main2_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_main2_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_main_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_main_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_main_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@button@block/button@diagram.bd b/Cursor/hds/@button@block/button@diagram.bd index 7410981..4292147 100644 --- a/Cursor/hds/@button@block/button@diagram.bd +++ b/Cursor/hds/@button@block/button@diagram.bd @@ -54,23 +54,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -90,27 +90,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock" ) (vvPair variable "date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "21" +value "15" ) (vvPair variable "entity_name" @@ -134,11 +134,11 @@ value "button@diagram" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -146,11 +146,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:26:48" +value "15:22:00" ) (vvPair variable "group" @@ -158,7 +158,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -174,7 +174,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -182,19 +182,19 @@ value "ButtonBlock" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\button@diagram.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock\\buttonDiagram.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock\\buttonDiagram.bd" ) (vvPair variable "package_name" @@ -222,7 +222,7 @@ value "buttonDiagram" ) (vvPair variable "time" -value "15:26:48" +value "15:22:00" ) (vvPair variable "unit" @@ -230,7 +230,7 @@ value "ButtonBlock" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -242,11 +242,11 @@ value "buttonDiagram" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -357,8 +357,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,1400,29500,2200" -st "button4 : std_ulogic -" +st "button4 : std_ulogic" ) ) *4 (PortIoIn @@ -420,8 +419,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,2200,29500,3000" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *6 (PortIoIn @@ -483,8 +481,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,3000,29500,3800" -st "go1 : std_uLogic -" +st "go1 : std_uLogic" ) ) *8 (PortIoIn @@ -546,8 +543,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,3800,29500,4600" -st "go2 : std_uLogic -" +st "go2 : std_uLogic" ) ) *10 (PortIoIn @@ -609,8 +605,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,5400,29500,6200" -st "restart : std_uLogic -" +st "restart : std_uLogic" ) ) *12 (PortIoIn @@ -673,8 +668,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,6200,29500,7000" -st "unlock : std_ulogic -" +st "unlock : std_ulogic" ) ) *14 (Grouping @@ -699,7 +693,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "27200,48000,36400,49000" +xt "27200,48000,37200,49000" st " by %user on %dd %month %year " @@ -1070,8 +1064,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,4600,29500,5400" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) *27 (Blk @@ -1171,8 +1164,7 @@ va (VaSet font "Courier New,8,0" ) xt "18000,7000,34500,7800" -st "button : unsigned(3 DOWNTO 0) -" +st "button : unsigned(3 DOWNTO 0)" ) ) *32 (SaComponent @@ -1887,12 +1879,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1537,960" -viewArea "-13500,-5100,67658,43260" +windowSize "0,24,1540,960" +viewArea "-13500,-5100,67844,43260" cachedDiagramExtent "-7600,-1000,67200,49000" hasePageBreakOrigin 1 pageBreakOrigin "-8000,-49000" -lastUid 672,0 +lastUid 789,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/@button@block/interface b/Cursor/hds/@button@block/interface index 649f75a..a8a83da 100644 --- a/Cursor/hds/@button@block/interface +++ b/Cursor/hds/@button@block/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 50,0 +suid 82,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,11 +73,11 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 8 -suid 43,0 +o 26 +suid 75,0 ) ) -uid 833,0 +uid 1241,0 ) *15 (LogPort port (LogicalPort @@ -81,65 +86,67 @@ decl (Decl n "button4" t "std_ulogic" o 1 -suid 44,0 +suid 76,0 ) ) -uid 835,0 +uid 1243,0 ) *16 (LogPort port (LogicalPort +lang 11 decl (Decl n "clock" t "std_ulogic" o 2 -suid 45,0 +suid 77,0 ) ) -uid 837,0 +uid 1245,0 ) *17 (LogPort port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 -suid 46,0 +o 6 +suid 78,0 ) ) -uid 839,0 +uid 1247,0 ) *18 (LogPort port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 -suid 47,0 +o 7 +suid 79,0 ) ) -uid 841,0 +uid 1249,0 ) *19 (LogPort port (LogicalPort +lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 -suid 48,0 +o 8 +suid 80,0 ) ) -uid 843,0 +uid 1251,0 ) *20 (LogPort port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 -suid 49,0 +o 9 +suid 81,0 ) ) -uid 845,0 +uid 1253,0 ) *21 (LogPort port (LogicalPort @@ -147,11 +154,11 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 -suid 50,0 +o 27 +suid 82,0 ) ) -uid 847,0 +uid 1255,0 ) ] ) @@ -205,51 +212,51 @@ uid 127,0 ) *27 (MRCItem litem &14 -pos 7 +pos 0 dimension 20 -uid 834,0 +uid 1242,0 ) *28 (MRCItem litem &15 -pos 0 +pos 1 dimension 20 -uid 836,0 +uid 1244,0 ) *29 (MRCItem litem &16 -pos 1 +pos 2 dimension 20 -uid 838,0 +uid 1246,0 ) *30 (MRCItem litem &17 -pos 2 +pos 3 dimension 20 -uid 840,0 +uid 1248,0 ) *31 (MRCItem litem &18 -pos 3 +pos 4 dimension 20 -uid 842,0 +uid 1250,0 ) *32 (MRCItem litem &19 -pos 4 +pos 5 dimension 20 -uid 844,0 +uid 1252,0 ) *33 (MRCItem litem &20 -pos 5 +pos 6 dimension 20 -uid 846,0 +uid 1254,0 ) *34 (MRCItem litem &21 -pos 6 +pos 7 dimension 20 -uid 848,0 +uid 1256,0 ) ] ) @@ -480,23 +487,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -516,27 +523,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -560,11 +567,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -572,11 +579,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "15:22:46" +value "17:47:43" ) (vvPair variable "group" @@ -584,7 +591,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -600,7 +607,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -608,19 +615,19 @@ value "ButtonBlock" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@button@block\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\ButtonBlock\\interface" ) (vvPair variable "package_name" @@ -648,7 +655,7 @@ value "interface" ) (vvPair variable "time" -value "15:22:46" +value "17:47:43" ) (vvPair variable "unit" @@ -656,7 +663,7 @@ value "ButtonBlock" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -668,11 +675,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -683,10 +690,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 793,0 +uid 1201,0 ps "OnEdgeStrategy" shape (Triangle -uid 794,0 +uid 1202,0 ro 90 va (VaSet vasetType 1 @@ -695,11 +702,11 @@ fg "0,65535,0" xt "23000,9625,23750,10375" ) tg (CPTG -uid 795,0 +uid 1203,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 796,0 +uid 1204,0 va (VaSet font "Verdana,12,0" ) @@ -711,12 +718,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 797,0 +uid 1205,0 va (VaSet font "Courier New,8,0" ) xt "44000,8000,64500,8800" -st "button : OUT unsigned (3 DOWNTO 0)" +st "button : OUT unsigned (3 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -725,16 +733,16 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 8 -suid 43,0 +o 26 +suid 75,0 ) ) ) *69 (CptPort -uid 798,0 +uid 1206,0 ps "OnEdgeStrategy" shape (Triangle -uid 799,0 +uid 1207,0 ro 90 va (VaSet vasetType 1 @@ -743,11 +751,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 800,0 +uid 1208,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 801,0 +uid 1209,0 va (VaSet font "Verdana,12,0" ) @@ -758,12 +766,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 802,0 +uid 1210,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "button4 : IN std_ulogic ;" +st "button4 : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -771,15 +780,15 @@ decl (Decl n "button4" t "std_ulogic" o 1 -suid 44,0 +suid 76,0 ) ) ) *70 (CptPort -uid 803,0 +uid 1211,0 ps "OnEdgeStrategy" shape (Triangle -uid 804,0 +uid 1212,0 ro 90 va (VaSet vasetType 1 @@ -788,11 +797,11 @@ fg "0,65535,0" xt "14250,16625,15000,17375" ) tg (CPTG -uid 805,0 +uid 1213,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 806,0 +uid 1214,0 va (VaSet font "Verdana,12,0" ) @@ -803,27 +812,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 807,0 +uid 1215,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "clock" t "std_ulogic" o 2 -suid 45,0 +suid 77,0 ) ) ) *71 (CptPort -uid 808,0 +uid 1216,0 ps "OnEdgeStrategy" shape (Triangle -uid 809,0 +uid 1217,0 ro 90 va (VaSet vasetType 1 @@ -832,11 +843,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 810,0 +uid 1218,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 811,0 +uid 1219,0 va (VaSet font "Verdana,12,0" ) @@ -847,27 +858,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 812,0 +uid 1220,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "go1 : IN std_uLogic ;" +st "go1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 -suid 46,0 +o 6 +suid 78,0 ) ) ) *72 (CptPort -uid 813,0 +uid 1221,0 ps "OnEdgeStrategy" shape (Triangle -uid 814,0 +uid 1222,0 ro 90 va (VaSet vasetType 1 @@ -876,11 +888,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 815,0 +uid 1223,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 816,0 +uid 1224,0 va (VaSet font "Verdana,12,0" ) @@ -891,27 +903,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 817,0 +uid 1225,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "go2 : IN std_uLogic ;" +st "go2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 -suid 47,0 +o 7 +suid 79,0 ) ) ) *73 (CptPort -uid 818,0 +uid 1226,0 ps "OnEdgeStrategy" shape (Triangle -uid 819,0 +uid 1227,0 ro 90 va (VaSet vasetType 1 @@ -920,11 +933,11 @@ fg "0,65535,0" xt "14250,17625,15000,18375" ) tg (CPTG -uid 820,0 +uid 1228,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 821,0 +uid 1229,0 va (VaSet font "Verdana,12,0" ) @@ -935,27 +948,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 822,0 +uid 1230,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 -suid 48,0 +o 8 +suid 80,0 ) ) ) *74 (CptPort -uid 823,0 +uid 1231,0 ps "OnEdgeStrategy" shape (Triangle -uid 824,0 +uid 1232,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +979,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 825,0 +uid 1233,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 826,0 +uid 1234,0 va (VaSet font "Verdana,12,0" ) @@ -979,27 +994,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 827,0 +uid 1235,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "restart : IN std_uLogic ;" +st "restart : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 -suid 49,0 +o 9 +suid 81,0 ) ) ) *75 (CptPort -uid 828,0 +uid 1236,0 ps "OnEdgeStrategy" shape (Triangle -uid 829,0 +uid 1237,0 ro 270 va (VaSet vasetType 1 @@ -1008,11 +1024,11 @@ fg "0,65535,0" xt "23000,15625,23750,16375" ) tg (CPTG -uid 830,0 +uid 1238,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 831,0 +uid 1239,0 va (VaSet font "Verdana,12,0" ) @@ -1024,20 +1040,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 832,0 +uid 1240,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,60500,8000" -st "unlock : IN std_ulogic ;" +st "unlock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 -suid 50,0 +o 27 +suid 82,0 ) ) ) @@ -1061,18 +1078,18 @@ uid 11,0 va (VaSet font "Verdana,9,1" ) -xt "16950,11300,20650,12500" +xt "15300,11300,19000,12500" st "Cursor" -blo "16950,12300" +blo "15300,12300" ) second (Text uid 12,0 va (VaSet font "Verdana,9,1" ) -xt "16950,12500,24350,13700" +xt "15300,12500,22700,13700" st "ButtonBlock" -blo "16950,13500" +blo "15300,13500" ) ) gi *76 (GenericInterface @@ -1126,7 +1143,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,48800,49000" st " by %user on %dd %month %year " @@ -1467,10 +1484,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1748,6 +1767,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 940,0 +lastUid 1256,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@compteur/compteurt.bd b/Cursor/hds/@compteur/compteurt.bd index bb5def5..16fb426 100644 --- a/Cursor/hds/@compteur/compteurt.bd +++ b/Cursor/hds/@compteur/compteurt.bd @@ -77,23 +77,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -113,15 +113,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" ) (vvPair variable "date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -133,7 +133,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "18" ) (vvPair variable "entity_name" @@ -157,11 +157,11 @@ value "compteurt" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -169,11 +169,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "15:11:17" +value "14:53:08" ) (vvPair variable "group" @@ -181,7 +181,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -197,7 +197,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -205,19 +205,19 @@ value "Compteur" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\compteurt.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\compteurt.bd" ) (vvPair variable "package_name" @@ -245,7 +245,7 @@ value "compteurt" ) (vvPair variable "time" -value "15:11:17" +value "14:53:08" ) (vvPair variable "unit" @@ -253,7 +253,7 @@ value "Compteur" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -265,11 +265,11 @@ value "compteurt" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -323,10 +323,9 @@ tm "WireNameMgr" ) *2 (Net uid 21,0 -lang 11 decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -336,7 +335,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-3800,35500,-3000" -st "clock : std_ulogic +st "clock : std_uLogic " ) ) @@ -353,16 +352,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "-2000,11625,-500,12375" +xt "-1000,9625,500,10375" ) (Line uid 26,0 sl 0 ro 270 -xt "-500,12000,0,12000" +xt "500,10000,1000,10000" pts [ -"-500,12000" -"0,12000" +"500,10000" +"1000,10000" ] ) ] @@ -377,10 +376,10 @@ f (Text uid 28,0 va (VaSet ) -xt "-6200,11400,-3000,12600" +xt "-5200,9400,-2000,10600" st "down" ju 2 -blo "-3000,12400" +blo "-2000,10400" tm "WireNameMgr" ) ) @@ -579,10 +578,9 @@ tm "WireNameMgr" ) *10 (Net uid 77,0 -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 suid 5,0 ) @@ -592,7 +590,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-2200,35500,-1400" -st "reset : std_ulogic +st "reset : std_uLogic " ) ) @@ -682,7 +680,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "29200,48000,38400,49000" +xt "29200,48000,42600,49000" st " by %user on %dd %month %year " @@ -1080,7 +1078,7 @@ lang 11 decl (Decl n "upnotdown" t "std_uLogic" -o 11 +o 10 suid 12,0 ) declText (MLText @@ -1088,7 +1086,7 @@ uid 326,0 va (VaSet font "Courier New,8,0" ) -xt "21000,3000,39000,3800" +xt "21000,3800,39000,4600" st "SIGNAL upnotdown : std_uLogic " ) @@ -1099,7 +1097,7 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 8 +o 7 suid 13,0 ) declText (MLText @@ -1426,30 +1424,10 @@ ftype 3 ) viewiconposition 0 ) -*41 (Net -uid 394,0 -lang 11 -decl (Decl -n "position20bit" -t "unsigned" -b "(19 DOWNTO 0)" -o 9 -suid 16,0 -) -declText (MLText -uid 395,0 -va (VaSet -font "Courier New,8,0" -) -xt "21000,2200,45000,3000" -st "SIGNAL position20bit : unsigned(19 DOWNTO 0) -" -) -) -*42 (SaComponent +*41 (SaComponent uid 719,0 optionalChildren [ -*43 (CptPort +*42 (CptPort uid 711,0 ps "OnEdgeStrategy" shape (Triangle @@ -1493,7 +1471,7 @@ suid 1,0 ) ) ) -*44 (CptPort +*43 (CptPort uid 715,0 ps "OnEdgeStrategy" shape (Triangle @@ -1559,7 +1537,7 @@ uid 721,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*45 (Text +*44 (Text uid 722,0 va (VaSet font "Verdana,8,1" @@ -1569,7 +1547,7 @@ st "gates" blo "4910,21500" tm "BdLibraryNameMgr" ) -*46 (Text +*45 (Text uid 723,0 va (VaSet font "Verdana,8,1" @@ -1579,7 +1557,7 @@ st "bufferUlogic" blo "4910,22500" tm "CptNameMgr" ) -*47 (Text +*46 (Text uid 724,0 va (VaSet font "Verdana,8,1" @@ -1635,7 +1613,46 @@ sT 1 ) archFileType "UNKNOWN" ) -*48 (Wire +*47 (Net +uid 1269,0 +lang 11 +decl (Decl +n "neg" +t "std_ulogic" +o 8 +suid 24,0 +) +declText (MLText +uid 1270,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,2200,39000,3000" +st "SIGNAL neg : std_ulogic +" +) +) +*48 (Net +uid 1279,0 +lang 11 +decl (Decl +n "position24bit" +t "unsigned" +b "(23 DOWNTO 0)" +o 9 +suid 25,0 +) +declText (MLText +uid 1280,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,3000,45000,3800" +st "SIGNAL position24bit : unsigned(23 DOWNTO 0) +" +) +) +*49 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 @@ -1672,18 +1689,16 @@ tm "WireNameMgr" ) on &2 ) -*49 (Wire +*50 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "0,10000,14287,12000" +xt "1000,10000,14287,10000" pts [ -"0,12000" -"10000,12000" -"10000,10000" +"1000,10000" "14287,10000" ] ) @@ -1704,15 +1719,15 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "2000,10800,5200,12000" +xt "3000,8800,6200,10000" st "down" -blo "2000,11800" +blo "3000,9800" tm "WireNameMgr" ) ) on &4 ) -*50 (Wire +*51 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -1751,7 +1766,7 @@ tm "WireNameMgr" ) on &6 ) -*51 (Wire +*52 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -1789,7 +1804,7 @@ tm "WireNameMgr" ) on &8 ) -*52 (Wire +*53 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -1826,10 +1841,10 @@ tm "WireNameMgr" ) on &10 ) -*53 (Wire +*54 (Wire uid 85,0 optionalChildren [ -*54 (BdJunction +*55 (BdJunction uid 735,0 ps "OnConnectorStrategy" shape (Circle @@ -1878,10 +1893,10 @@ tm "WireNameMgr" ) on &12 ) -*55 (Wire +*56 (Wire uid 277,0 optionalChildren [ -*56 (BdJunction +*57 (BdJunction uid 436,0 ps "OnConnectorStrategy" shape (Circle @@ -1929,7 +1944,7 @@ tm "WireNameMgr" ) on &29 ) -*57 (Wire +*58 (Wire uid 317,0 shape (OrthoPolyLine uid 318,0 @@ -1942,7 +1957,7 @@ pts [ "25000,18000" ] ) -start &44 +start &43 end &24 sat 32 eat 1 @@ -1965,7 +1980,7 @@ tm "WireNameMgr" ) on &28 ) -*58 (Wire +*59 (Wire uid 396,0 shape (OrthoPolyLine uid 397,0 @@ -2000,7 +2015,7 @@ tm "WireNameMgr" ) on &2 ) -*59 (Wire +*60 (Wire uid 406,0 shape (OrthoPolyLine uid 407,0 @@ -2035,7 +2050,7 @@ tm "WireNameMgr" ) on &10 ) -*60 (Wire +*61 (Wire uid 430,0 shape (OrthoPolyLine uid 431,0 @@ -2050,7 +2065,7 @@ pts [ "51000,13000" ] ) -start &56 +start &57 end &37 sat 32 eat 1 @@ -2075,44 +2090,6 @@ tm "WireNameMgr" ) on &29 ) -*61 (Wire -uid 603,0 -shape (OrthoPolyLine -uid 604,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "43000,16000,48000,16000" -pts [ -"43000,16000" -"48000,16000" -] -) -start &24 -end &37 -sat 2 -eat 1 -sty 1 -st 0 -sf 1 -si 0 -tg (WTG -uid 609,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 610,0 -va (VaSet -) -xt "42000,14800,55100,16000" -st "position20bit : (19:0)" -blo "42000,15800" -tm "WireNameMgr" -) -) -on &41 -) *62 (Wire uid 731,0 shape (OrthoPolyLine @@ -2127,8 +2104,8 @@ pts [ "4000,18000" ] ) -start &54 -end &43 +start &55 +end &42 sat 32 eat 32 stc 0 @@ -2151,6 +2128,80 @@ tm "WireNameMgr" ) on &12 ) +*63 (Wire +uid 1261,0 +shape (OrthoPolyLine +uid 1262,0 +va (VaSet +vasetType 3 +) +xt "43000,18000,48000,18000" +pts [ +"48000,18000" +"43000,18000" +] +) +start &37 +end &24 +sat 2 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 1267,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1268,0 +va (VaSet +) +xt "44000,16800,46500,18000" +st "neg" +blo "44000,17800" +tm "WireNameMgr" +) +) +on &47 +) +*64 (Wire +uid 1271,0 +shape (OrthoPolyLine +uid 1272,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43000,15000,48000,15000" +pts [ +"43000,15000" +"48000,15000" +] +) +start &24 +end &37 +sat 2 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 1277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1278,0 +va (VaSet +) +xt "41000,13800,54100,15000" +st "position24bit : (23:0)" +blo "41000,14800" +tm "WireNameMgr" +) +) +on &48 +) ] bg "65535,65535,65535" grid (Grid @@ -2163,11 +2214,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *63 (PackageList +packageList *65 (PackageList uid 137,0 stg "VerticalLayoutStrategy" textVec [ -*64 (Text +*66 (Text uid 138,0 va (VaSet font "Verdana,9,1" @@ -2176,7 +2227,7 @@ xt "0,-400,7600,800" st "Package List" blo "0,600" ) -*65 (MLText +*67 (MLText uid 139,0 va (VaSet ) @@ -2194,7 +2245,7 @@ compDirBlock (MlTextGroup uid 140,0 stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*68 (Text uid 141,0 va (VaSet isHidden 1 @@ -2204,7 +2255,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*67 (Text +*69 (Text uid 142,0 va (VaSet isHidden 1 @@ -2214,7 +2265,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*68 (MLText +*70 (MLText uid 143,0 va (VaSet isHidden 1 @@ -2224,7 +2275,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*69 (Text +*71 (Text uid 144,0 va (VaSet isHidden 1 @@ -2234,7 +2285,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*70 (MLText +*72 (MLText uid 145,0 va (VaSet isHidden 1 @@ -2242,7 +2293,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*71 (Text +*73 (Text uid 146,0 va (VaSet isHidden 1 @@ -2252,7 +2303,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*72 (MLText +*74 (MLText uid 147,0 va (VaSet isHidden 1 @@ -2263,12 +2314,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1537,960" -viewArea "-21100,-16900,79693,45316" -cachedDiagramExtent "-6200,-7000,68800,49000" +windowSize "0,24,1543,960" +viewArea "-20900,-16900,88245,50164" +cachedDiagramExtent "-5200,-7000,68800,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,-49000" -lastUid 1111,0 +lastUid 1636,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2358,7 +2409,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*73 (Text +*75 (Text va (VaSet font "Verdana,9,1" ) @@ -2367,7 +2418,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*74 (Text +*76 (Text va (VaSet font "Verdana,9,1" ) @@ -2376,7 +2427,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*75 (Text +*77 (Text va (VaSet font "Verdana,9,1" ) @@ -2427,7 +2478,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*76 (Text +*78 (Text va (VaSet font "Verdana,9,1" ) @@ -2435,7 +2486,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*77 (Text +*79 (Text va (VaSet font "Verdana,9,1" ) @@ -2443,7 +2494,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*78 (Text +*80 (Text va (VaSet font "Verdana,9,1" ) @@ -2492,7 +2543,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*79 (Text +*81 (Text va (VaSet font "Verdana,9,1" ) @@ -2501,7 +2552,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*80 (Text +*82 (Text va (VaSet font "Verdana,9,1" ) @@ -2510,7 +2561,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*81 (Text +*83 (Text va (VaSet font "Verdana,9,1" ) @@ -2564,7 +2615,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*82 (Text +*84 (Text va (VaSet font "Verdana,9,1" ) @@ -2572,7 +2623,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*83 (Text +*85 (Text va (VaSet font "Verdana,9,1" ) @@ -2580,7 +2631,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*84 (Text +*86 (Text va (VaSet font "Verdana,9,1" ) @@ -2625,7 +2676,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*85 (Text +*87 (Text va (VaSet font "Verdana,9,1" ) @@ -2633,7 +2684,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*86 (Text +*88 (Text va (VaSet font "Verdana,9,1" ) @@ -2641,7 +2692,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*87 (Text +*89 (Text va (VaSet font "Verdana,9,1" ) @@ -2682,7 +2733,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*88 (Text +*90 (Text va (VaSet font "Verdana,9,1" ) @@ -2691,7 +2742,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*89 (Text +*91 (Text va (VaSet font "Verdana,9,1" ) @@ -3094,7 +3145,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*90 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -3102,7 +3153,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*91 (MLText +*93 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3154,7 +3205,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*92 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -3162,7 +3213,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*93 (MLText +*95 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3308,46 +3359,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 22,0 +suid 25,0 usingSuid 1 -emptyRow *94 (LEmptyRow +emptyRow *96 (LEmptyRow ) uid 150,0 optionalChildren [ -*95 (RefLabelRowHdr +*97 (RefLabelRowHdr ) -*96 (TitleRowHdr +*98 (TitleRowHdr ) -*97 (FilterRowHdr +*99 (FilterRowHdr ) -*98 (RefLabelColHdr +*100 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*99 (RowExpandColHdr +*101 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*100 (GroupColHdr +*102 (GroupColHdr tm "GroupColHdrMgr" ) -*101 (NameColHdr +*103 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*102 (ModeColHdr +*104 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*103 (TypeColHdr +*105 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*104 (BoundsColHdr +*106 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*105 (InitColHdr +*107 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*106 (EolColHdr +*108 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*107 (LeafLogPort +*109 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3359,21 +3410,19 @@ suid 4,0 ) uid 93,0 ) -*108 (LeafLogPort +*110 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) ) uid 95,0 ) -*109 (LeafLogPort +*111 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "down" t "std_uLogic" @@ -3383,21 +3432,19 @@ suid 2,0 ) uid 97,0 ) -*110 (LeafLogPort +*112 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 suid 5,0 ) ) uid 99,0 ) -*111 (LeafLogPort +*113 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "up" t "std_uLogic" @@ -3407,7 +3454,7 @@ suid 6,0 ) uid 101,0 ) -*112 (LeafLogPort +*114 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3421,45 +3468,58 @@ suid 3,0 ) uid 103,0 ) -*113 (LeafLogPort +*115 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "upnotdown" t "std_uLogic" -o 11 +o 10 suid 12,0 ) ) uid 378,0 ) -*114 (LeafLogPort +*116 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "en" t "std_ulogic" -o 8 +o 7 suid 13,0 ) ) uid 380,0 ) -*115 (LeafLogPort +*117 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl -n "position20bit" +n "neg" +t "std_ulogic" +o 8 +suid 24,0 +) +) +uid 1281,0 +) +*118 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "position24bit" t "unsigned" -b "(19 DOWNTO 0)" +b "(23 DOWNTO 0)" o 9 -suid 16,0 +suid 25,0 ) ) -uid 416,0 +uid 1283,0 ) ] ) @@ -3468,7 +3528,7 @@ displayShortBounds 1 editShortBounds 1 uid 163,0 optionalChildren [ -*116 (Sheet +*119 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3485,85 +3545,91 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *117 (MRCItem -litem &94 -pos 9 +emptyMRCItem *120 (MRCItem +litem &96 +pos 10 dimension 20 ) uid 165,0 optionalChildren [ -*118 (MRCItem -litem &95 +*121 (MRCItem +litem &97 pos 0 dimension 20 uid 166,0 ) -*119 (MRCItem -litem &96 +*122 (MRCItem +litem &98 pos 1 dimension 23 uid 167,0 ) -*120 (MRCItem -litem &97 +*123 (MRCItem +litem &99 pos 2 hidden 1 dimension 20 uid 168,0 ) -*121 (MRCItem -litem &107 -pos 0 +*124 (MRCItem +litem &109 +pos 3 dimension 20 uid 94,0 ) -*122 (MRCItem -litem &108 -pos 1 +*125 (MRCItem +litem &110 +pos 0 dimension 20 uid 96,0 ) -*123 (MRCItem -litem &109 -pos 2 +*126 (MRCItem +litem &111 +pos 1 dimension 20 uid 98,0 ) -*124 (MRCItem -litem &110 -pos 3 +*127 (MRCItem +litem &112 +pos 4 dimension 20 uid 100,0 ) -*125 (MRCItem -litem &111 -pos 4 +*128 (MRCItem +litem &113 +pos 5 dimension 20 uid 102,0 ) -*126 (MRCItem -litem &112 -pos 5 +*129 (MRCItem +litem &114 +pos 2 dimension 20 uid 104,0 ) -*127 (MRCItem -litem &113 +*130 (MRCItem +litem &115 pos 6 dimension 20 uid 379,0 ) -*128 (MRCItem -litem &114 +*131 (MRCItem +litem &116 pos 7 dimension 20 uid 381,0 ) -*129 (MRCItem -litem &115 +*132 (MRCItem +litem &117 pos 8 dimension 20 -uid 417,0 +uid 1282,0 +) +*133 (MRCItem +litem &118 +pos 9 +dimension 20 +uid 1284,0 ) ] ) @@ -3576,50 +3642,50 @@ textAngle 90 ) uid 169,0 optionalChildren [ -*130 (MRCItem -litem &98 +*134 (MRCItem +litem &100 pos 0 dimension 20 uid 170,0 ) -*131 (MRCItem -litem &100 +*135 (MRCItem +litem &102 pos 1 dimension 50 uid 171,0 ) -*132 (MRCItem -litem &101 +*136 (MRCItem +litem &103 pos 2 dimension 100 uid 172,0 ) -*133 (MRCItem -litem &102 +*137 (MRCItem +litem &104 pos 3 dimension 50 uid 173,0 ) -*134 (MRCItem -litem &103 +*138 (MRCItem +litem &105 pos 4 dimension 100 uid 174,0 ) -*135 (MRCItem -litem &104 +*139 (MRCItem +litem &106 pos 5 dimension 100 uid 175,0 ) -*136 (MRCItem -litem &105 +*140 (MRCItem +litem &107 pos 6 dimension 50 uid 176,0 ) -*137 (MRCItem -litem &106 +*141 (MRCItem +litem &108 pos 7 dimension 80 uid 177,0 @@ -3639,38 +3705,38 @@ uid 149,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *138 (LEmptyRow +emptyRow *142 (LEmptyRow ) uid 179,0 optionalChildren [ -*139 (RefLabelRowHdr +*143 (RefLabelRowHdr ) -*140 (TitleRowHdr +*144 (TitleRowHdr ) -*141 (FilterRowHdr +*145 (FilterRowHdr ) -*142 (RefLabelColHdr +*146 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*143 (RowExpandColHdr +*147 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*144 (GroupColHdr +*148 (GroupColHdr tm "GroupColHdrMgr" ) -*145 (NameColHdr +*149 (NameColHdr tm "GenericNameColHdrMgr" ) -*146 (TypeColHdr +*150 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*147 (InitColHdr +*151 (InitColHdr tm "GenericValueColHdrMgr" ) -*148 (PragmaColHdr +*152 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*149 (EolColHdr +*153 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -3680,7 +3746,7 @@ displayShortBounds 1 editShortBounds 1 uid 191,0 optionalChildren [ -*150 (Sheet +*154 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3697,27 +3763,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *151 (MRCItem -litem &138 +emptyMRCItem *155 (MRCItem +litem &142 pos 0 dimension 20 ) uid 193,0 optionalChildren [ -*152 (MRCItem -litem &139 +*156 (MRCItem +litem &143 pos 0 dimension 20 uid 194,0 ) -*153 (MRCItem -litem &140 +*157 (MRCItem +litem &144 pos 1 dimension 23 uid 195,0 ) -*154 (MRCItem -litem &141 +*158 (MRCItem +litem &145 pos 2 hidden 1 dimension 20 @@ -3734,44 +3800,44 @@ textAngle 90 ) uid 197,0 optionalChildren [ -*155 (MRCItem -litem &142 +*159 (MRCItem +litem &146 pos 0 dimension 20 uid 198,0 ) -*156 (MRCItem -litem &144 +*160 (MRCItem +litem &148 pos 1 dimension 50 uid 199,0 ) -*157 (MRCItem -litem &145 +*161 (MRCItem +litem &149 pos 2 dimension 100 uid 200,0 ) -*158 (MRCItem -litem &146 +*162 (MRCItem +litem &150 pos 3 dimension 100 uid 201,0 ) -*159 (MRCItem -litem &147 +*163 (MRCItem +litem &151 pos 4 dimension 50 uid 202,0 ) -*160 (MRCItem -litem &148 +*164 (MRCItem +litem &152 pos 5 dimension 50 uid 203,0 ) -*161 (MRCItem -litem &149 +*165 (MRCItem +litem &153 pos 6 dimension 80 uid 204,0 diff --git a/Cursor/hds/@compteur/interface b/Cursor/hds/@compteur/interface index 21a9431..ac27311 100644 --- a/Cursor/hds/@compteur/interface +++ b/Cursor/hds/@compteur/interface @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -30,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 6,0 +suid 18,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,24 +69,23 @@ tm "EolColHdrMgr" port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 1,0 +suid 13,0 ) ) -uid 81,0 +uid 360,0 ) *15 (LogPort port (LogicalPort -lang 11 decl (Decl n "down" t "std_uLogic" o 3 -suid 2,0 +suid 14,0 ) ) -uid 83,0 +uid 362,0 ) *16 (LogPort port (LogicalPort @@ -101,10 +96,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 6 -suid 3,0 +suid 15,0 ) ) -uid 85,0 +uid 364,0 ) *17 (LogPort port (LogicalPort @@ -113,34 +108,32 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 4,0 +suid 16,0 ) ) -uid 87,0 +uid 366,0 ) *18 (LogPort port (LogicalPort -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 5,0 +suid 17,0 ) ) -uid 89,0 +uid 368,0 ) *19 (LogPort port (LogicalPort -lang 11 decl (Decl n "up" t "std_uLogic" o 5 -suid 6,0 +suid 18,0 ) ) -uid 91,0 +uid 370,0 ) ] ) @@ -194,39 +187,39 @@ uid 113,0 ) *25 (MRCItem litem &14 -pos 1 +pos 0 dimension 20 -uid 82,0 +uid 361,0 ) *26 (MRCItem litem &15 -pos 2 +pos 1 dimension 20 -uid 84,0 +uid 363,0 ) *27 (MRCItem litem &16 -pos 5 +pos 2 dimension 20 -uid 86,0 +uid 365,0 ) *28 (MRCItem litem &17 -pos 0 +pos 3 dimension 20 -uid 88,0 +uid 367,0 ) *29 (MRCItem litem &18 -pos 3 +pos 4 dimension 20 -uid 90,0 +uid 369,0 ) *30 (MRCItem litem &19 -pos 4 +pos 5 dimension 20 -uid 92,0 +uid 371,0 ) ] ) @@ -457,23 +450,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -493,15 +486,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" ) (vvPair variable "date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -513,7 +506,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "18" ) (vvPair variable "entity_name" @@ -537,11 +530,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -549,11 +542,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "14:54:36" +value "14:53:08" ) (vvPair variable "group" @@ -561,7 +554,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -577,7 +570,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -585,19 +578,19 @@ value "Compteur" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\interface" ) (vvPair variable "package_name" @@ -625,7 +618,7 @@ value "interface" ) (vvPair variable "time" -value "14:54:36" +value "14:53:08" ) (vvPair variable "unit" @@ -633,7 +626,7 @@ value "Compteur" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -645,11 +638,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -660,10 +653,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *64 (CptPort -uid 51,0 +uid 330,0 ps "OnEdgeStrategy" shape (Triangle -uid 52,0 +uid 331,0 ro 90 va (VaSet vasetType 1 @@ -672,11 +665,11 @@ fg "0,65535,0" xt "14250,27625,15000,28375" ) tg (CPTG -uid 53,0 +uid 332,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 54,0 +uid 333,0 va (VaSet font "Verdana,12,0" ) @@ -687,27 +680,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 55,0 +uid 334,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 1,0 +suid 13,0 ) ) ) *65 (CptPort -uid 56,0 +uid 335,0 ps "OnEdgeStrategy" shape (Triangle -uid 57,0 +uid 336,0 ro 90 va (VaSet vasetType 1 @@ -716,11 +710,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 58,0 +uid 337,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 59,0 +uid 338,0 va (VaSet font "Verdana,12,0" ) @@ -731,28 +725,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 60,0 +uid 339,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "down : IN std_uLogic ;" +st "down : IN std_uLogic ; +" ) thePort (LogicalPort -lang 11 decl (Decl n "down" t "std_uLogic" o 3 -suid 2,0 +suid 14,0 ) ) ) *66 (CptPort -uid 61,0 +uid 340,0 ps "OnEdgeStrategy" shape (Triangle -uid 62,0 +uid 341,0 ro 90 va (VaSet vasetType 1 @@ -761,11 +755,11 @@ fg "0,65535,0" xt "31000,17625,31750,18375" ) tg (CPTG -uid 63,0 +uid 342,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 64,0 +uid 343,0 va (VaSet font "Verdana,12,0" ) @@ -777,12 +771,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 65,0 +uid 344,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,66000,7200" -st "Position : OUT unsigned (15 DOWNTO 0)" +st "Position : OUT unsigned (15 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -792,15 +787,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 6 -suid 3,0 +suid 15,0 ) ) ) *67 (CptPort -uid 66,0 +uid 345,0 ps "OnEdgeStrategy" shape (Triangle -uid 67,0 +uid 346,0 ro 90 va (VaSet vasetType 1 @@ -809,11 +804,11 @@ fg "0,65535,0" xt "14250,24625,15000,25375" ) tg (CPTG -uid 68,0 +uid 347,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 69,0 +uid 348,0 va (VaSet font "Verdana,12,0" ) @@ -824,12 +819,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 70,0 +uid 349,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "RaZ : IN std_ulogic ;" +st "RaZ : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -837,15 +833,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 4,0 +suid 16,0 ) ) ) *68 (CptPort -uid 71,0 +uid 350,0 ps "OnEdgeStrategy" shape (Triangle -uid 72,0 +uid 351,0 ro 90 va (VaSet vasetType 1 @@ -854,11 +850,11 @@ fg "0,65535,0" xt "14250,29625,15000,30375" ) tg (CPTG -uid 73,0 +uid 352,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 74,0 +uid 353,0 va (VaSet font "Verdana,12,0" ) @@ -869,28 +865,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 75,0 +uid 354,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_uLogic ; +" ) thePort (LogicalPort -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 5,0 +suid 17,0 ) ) ) *69 (CptPort -uid 76,0 +uid 355,0 ps "OnEdgeStrategy" shape (Triangle -uid 77,0 +uid 356,0 ro 90 va (VaSet vasetType 1 @@ -899,11 +895,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 78,0 +uid 357,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 79,0 +uid 358,0 va (VaSet font "Verdana,12,0" ) @@ -914,20 +910,20 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 80,0 +uid 359,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "up : IN std_uLogic ;" +st "up : IN std_uLogic ; +" ) thePort (LogicalPort -lang 11 decl (Decl n "up" t "std_uLogic" o 5 -suid 6,0 +suid 18,0 ) ) ) @@ -1016,7 +1012,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,49600,49000" st " by %user on %dd %month %year " @@ -1357,13 +1353,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -1641,7 +1636,7 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 218,0 +lastUid 394,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" diff --git a/Cursor/hds/@counter_@controller/fsm.sm b/Cursor/hds/@counter_@controller/fsm.sm index 238be1e..7fa5006 100644 --- a/Cursor/hds/@counter_@controller/fsm.sm +++ b/Cursor/hds/@counter_@controller/fsm.sm @@ -83,19 +83,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\C ) (vvPair variable "date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "21" +value "15" ) (vvPair variable "entity_name" @@ -123,7 +123,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -135,7 +135,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:48:18" +value "19:09:27" ) (vvPair variable "group" @@ -159,7 +159,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -167,11 +167,11 @@ value "Counter_Controller" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -207,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "13:48:18" +value "19:09:27" ) (vvPair variable "unit" @@ -227,11 +227,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -300,28 +300,25 @@ shape (Rectangle uid 52,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "42110,23140,52810,25740" +xt "38652,30203,49352,35603" ) autoResize 1 tline (Line uid 53,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "42210,23040,52710,23040" +xt "38752,32903,49252,32903" pts [ -"42210,23040" -"52710,23040" +"38752,32903" +"49252,32903" ] ) bline (Line @@ -330,12 +327,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "42210,23340,52710,23340" +xt "38752,32503,49252,32503" pts [ -"42210,23340" -"52710,23340" +"38752,32503" +"49252,32503" ] ) ttri (Triangle @@ -343,13 +340,12 @@ uid 55,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "41760,22665,42110,23015" +xt "38302,31328,38652,31678" ) btri (Triangle uid 56,0 @@ -362,20 +358,22 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "41760,20865,42110,21215" +xt "38302,29328,38652,29678" ) entryActions (MLText uid 57,0 va (VaSet ) -xt "42210,22840,42210,22840" +xt "38752,30303,49252,32703" +st "resetSync <= '1' ; +enable <= '0';" tm "Actions" ) inActions (MLText uid 58,0 va (VaSet ) -xt "42210,23240,52710,25640" +xt "38752,33103,49252,35503" st "resetSync <= '1' ; enable <= '0';" tm "Actions" @@ -384,7 +382,7 @@ exitActions (MLText uid 59,0 va (VaSet ) -xt "43460,21040,43460,21040" +xt "40002,29503,40002,29503" tm "Actions" ) ) @@ -402,6 +400,7 @@ st "CASE: expr" tm "SmCaseExpr" ) ) +execEntry 1 ) *3 (SmClockPoint uid 60,0 @@ -511,10 +510,10 @@ vasetType 3 ) xt "4150,19700,4749,20300" pts [ -"4749,20300" -"4449,20300" +"4749,19700" "4449,19700" -"4150,19700" +"4449,20300" +"4150,20300" ] ) (Line @@ -572,7 +571,7 @@ va (VaSet isHidden 1 ) xt "2400,17800,9000,19000" -st "reset = '0'" +st "reset = '1'" tm "SmControlConditionMgr" ) ) @@ -630,6 +629,7 @@ st "< Automatic >" tm "Actions" ) ) +level 1 ) *5 (Link uid 87,0 @@ -787,7 +787,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,46200,47000" st " by %user on %dd %month %year " @@ -1182,7 +1182,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "62920,24430,71520,25830" +xt "61870,23830,72570,26430" ) autoResize 1 tline (Line @@ -1193,10 +1193,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "63020,24330,71420,24330" +xt "61970,23730,72470,23730" pts [ -"63020,24330" -"71420,24330" +"61970,23730" +"72470,23730" ] ) bline (Line @@ -1207,10 +1207,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "63020,23730,71420,23730" +xt "61970,24030,72470,24030" pts [ -"63020,23730" -"71420,23730" +"61970,24030" +"72470,24030" ] ) ttri (Triangle @@ -1224,7 +1224,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "62570,23955,62920,24305" +xt "61520,23355,61870,23705" ) btri (Triangle uid 179,0 @@ -1237,21 +1237,22 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "62570,21555,62920,21905" +xt "61520,21555,61870,21905" ) entryActions (MLText uid 180,0 va (VaSet ) -xt "63020,24130,63020,24130" +xt "61970,23530,61970,23530" tm "Actions" ) inActions (MLText uid 181,0 va (VaSet ) -xt "63020,24530,71420,25730" -st "enable <= '1';" +xt "61970,23930,72470,26330" +st "enable <= '1'; +resetSync <= '0' ;" tm "Actions" ) exitActions (MLText @@ -1702,7 +1703,7 @@ start &4 end &5 ss 0 es 0 -cond "reset = '0'" +cond "reset = '1'" tb (TransitionBlock uid 106,0 ps "CenterOffsetStrategy" @@ -1714,7 +1715,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "8150,18855,15750,21055" +xt "7331,20129,14931,22329" ) autoResize 1 lineShape (Line @@ -1722,18 +1723,18 @@ uid 108,0 va (VaSet vasetType 3 ) -xt "8650,20455,15250,20455" +xt "7831,21729,14431,21729" pts [ -"8650,20455" -"15250,20455" +"7831,21729" +"14431,21729" ] ) condition (MLText uid 109,0 va (VaSet ) -xt "8650,18855,15250,20055" -st "reset = '0'" +xt "7831,20129,14431,21329" +st "reset = '1'" tm "Condition" ) actions (MLText @@ -1741,7 +1742,7 @@ uid 110,0 va (VaSet isHidden 1 ) -xt "7650,20855,16250,22055" +xt "6831,22129,15431,23329" st "< Automatic >" tm "Actions" ) @@ -1888,19 +1889,18 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "2600,27620,19000,29820" +xt "2600,27820,19000,31620" ) autoResize 1 lineShape (Line uid 232,0 va (VaSet vasetType 3 -isHidden 1 ) -xt "5750,29720,5750,29720" +xt "3100,29720,18500,29720" pts [ -"5750,29720" -"5750,29720" +"3100,29720" +"18500,29720" ] ) condition (MLText @@ -1915,7 +1915,8 @@ actions (MLText uid 234,0 va (VaSet ) -xt "10800,29720,10800,29720" +xt "5700,30120,15900,31320" +st "resetSync <= '1';" tm "Actions" ) ) @@ -2787,7 +2788,7 @@ stateOrder [ name "csm" ) ] -lastUid 375,0 +lastUid 520,0 commonDM (CommonDM ldm (LogicalDM emptyRow *63 (LEmptyRow @@ -2879,8 +2880,8 @@ o 3 ) ) uid 160,0 -cat 8 -expr "reset = '0'" +cat 9 +expr "reset = '1'" ) *85 (LeafLogPort port (LogicalPort @@ -4110,6 +4111,6 @@ pts [ ] ) ) -activeModelName "StateMachine:CDM" +activeModelName "StateMachine" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/@counter_@controller/interface b/Cursor/hds/@counter_@controller/symbol.sb similarity index 97% rename from Cursor/hds/@counter_@controller/interface rename to Cursor/hds/@counter_@controller/symbol.sb index 9dcb33a..d5e62e0 100644 --- a/Cursor/hds/@counter_@controller/interface +++ b/Cursor/hds/@counter_@controller/symbol.sb @@ -71,7 +71,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 1 suid 16,0 ) ) @@ -83,7 +83,7 @@ decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 2 suid 17,0 ) ) @@ -95,7 +95,7 @@ m 1 decl (Decl n "enable" t "std_ulogic" -o 10 +o 4 suid 18,0 ) ) @@ -107,7 +107,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 3 suid 19,0 ) ) @@ -119,7 +119,7 @@ m 1 decl (Decl n "resetSync" t "std_ulogic" -o 11 +o 5 suid 20,0 ) ) @@ -189,13 +189,13 @@ uid 448,0 ) *26 (MRCItem litem &16 -pos 2 +pos 3 dimension 20 uid 450,0 ) *27 (MRCItem litem &17 -pos 3 +pos 2 dimension 20 uid 452,0 ) @@ -442,11 +442,11 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\symbol.sb.user" ) (vvPair variable "SourceDir" @@ -458,7 +458,7 @@ value "HDL Designer" ) (vvPair variable "arch_name" -value "interface" +value "symbol" ) (vvPair variable "concat_file" @@ -478,19 +478,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\C ) (vvPair variable "date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "21" +value "15" ) (vvPair variable "entity_name" @@ -502,15 +502,15 @@ value "" ) (vvPair variable "f" -value "interface" +value "symbol.sb" ) (vvPair variable "f_logical" -value "interface" +value "symbol.sb" ) (vvPair variable "f_noext" -value "interface" +value "symbol" ) (vvPair variable "graphical_source_author" @@ -518,7 +518,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -530,7 +530,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:48:39" +value "18:49:36" ) (vvPair variable "group" @@ -554,7 +554,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -562,19 +562,19 @@ value "Counter_Controller" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller\\symbol.sb" ) (vvPair variable "package_name" @@ -590,19 +590,19 @@ value "HDL Designer Series" ) (vvPair variable "this_ext" -value "" +value "sb" ) (vvPair variable "this_file" -value "interface" +value "symbol" ) (vvPair variable "this_file_logical" -value "interface" +value "symbol" ) (vvPair variable "time" -value "13:48:39" +value "18:49:36" ) (vvPair variable "unit" @@ -618,15 +618,15 @@ value "2019.2 (Build 5)" ) (vvPair variable "view" -value "interface" +value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -677,7 +677,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 1 suid 16,0 ) ) @@ -724,7 +724,7 @@ decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 2 suid 17,0 ) ) @@ -770,7 +770,7 @@ m 1 decl (Decl n "enable" t "std_ulogic" -o 10 +o 4 suid 18,0 ) ) @@ -816,7 +816,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 3 suid 19,0 ) ) @@ -862,7 +862,7 @@ m 1 decl (Decl n "resetSync" t "std_ulogic" -o 11 +o 5 suid 20,0 ) ) @@ -1238,7 +1238,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,47000,49600,48000" +xt "36200,47000,49200,48000" st " %library/%unit/%view " @@ -1576,6 +1576,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 454,0 +lastUid 477,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@driver/drivert.bd b/Cursor/hds/@driver/drivert.bd index 969a9d0..2faa732 100644 --- a/Cursor/hds/@driver/drivert.bd +++ b/Cursor/hds/@driver/drivert.bd @@ -18,33 +18,6 @@ unitName "gates" ] instances [ (Instance -name "U_3" -duLibraryName "Cursor" -duName "Motor_side" -elements [ -] -mwi 0 -uid 376,0 -) -(Instance -name "U_0" -duLibraryName "Cursor" -duName "Counter_Controller" -elements [ -] -mwi 0 -uid 426,0 -) -(Instance -name "U_4" -duLibraryName "Cursor" -duName "PWM" -elements [ -] -mwi 0 -uid 548,0 -) -(Instance name "U_1" duLibraryName "sequential" duName "counterEnableResetSync" @@ -64,13 +37,40 @@ mwi 0 uid 744,0 ) (Instance -name "U_2" -duLibraryName "gates" -duName "logic1" +name "U_0" +duLibraryName "Cursor" +duName "Counter_Controller" elements [ ] mwi 0 -uid 840,0 +uid 1544,0 +) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "PWM" +elements [ +] +mwi 0 +uid 1574,0 +) +(Instance +name "U_3" +duLibraryName "Cursor" +duName "Motor_side" +elements [ +] +mwi 0 +uid 1612,0 +) +(Instance +name "U_2" +duLibraryName "Cursor" +duName "if0" +elements [ +] +mwi 0 +uid 2328,0 ) ] libraryRefs [ @@ -86,23 +86,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -122,27 +122,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -166,11 +166,11 @@ value "drivert" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -178,11 +178,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "13:48:40" +value "17:26:12" ) (vvPair variable "group" @@ -190,7 +190,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "language" @@ -206,7 +206,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -214,19 +214,19 @@ value "Driver" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\drivert.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\drivert.bd" ) (vvPair variable "package_name" @@ -254,7 +254,7 @@ value "drivert" ) (vvPair variable "time" -value "13:48:40" +value "17:26:12" ) (vvPair variable "unit" @@ -262,7 +262,7 @@ value "Driver" ) (vvPair variable "user" -value "Simon" +value "remi.heredero" ) (vvPair variable "version" @@ -274,11 +274,11 @@ value "drivert" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -362,16 +362,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "97500,20625,99000,21375" +xt "86500,16625,88000,17375" ) (Line uid 26,0 sl 0 ro 270 -xt "97000,21000,97500,21000" +xt "86000,17000,86500,17000" pts [ -"97000,21000" -"97500,21000" +"86000,17000" +"86500,17000" ] ) ] @@ -386,9 +386,9 @@ f (Text uid 28,0 va (VaSet ) -xt "100000,20400,105100,21600" +xt "89000,16400,94100,17600" st "motorOn" -blo "100000,21400" +blo "89000,17400" tm "WireNameMgr" ) ) @@ -399,7 +399,7 @@ lang 11 decl (Decl n "motorOn" t "std_uLogic" -o 4 +o 6 suid 2,0 ) declText (MLText @@ -528,7 +528,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 4,0 ) declText (MLText @@ -554,16 +554,16 @@ optionalChildren [ uid 67,0 sl 0 ro 270 -xt "93500,36625,95000,37375" +xt "96500,36625,98000,37375" ) (Line uid 68,0 sl 0 ro 270 -xt "93000,37000,93500,37000" +xt "96000,37000,96500,37000" pts [ -"93000,37000" -"93500,37000" +"96000,37000" +"96500,37000" ] ) ] @@ -578,9 +578,9 @@ f (Text uid 70,0 va (VaSet ) -xt "96000,36400,99500,37600" +xt "99000,36400,102500,37600" st "side1" -blo "96000,37400" +blo "99000,37400" tm "WireNameMgr" ) ) @@ -591,7 +591,7 @@ lang 11 decl (Decl n "side1" t "std_uLogic" -o 6 +o 7 suid 5,0 ) declText (MLText @@ -617,16 +617,16 @@ optionalChildren [ uid 81,0 sl 0 ro 270 -xt "93500,40625,95000,41375" +xt "96500,40625,98000,41375" ) (Line uid 82,0 sl 0 ro 270 -xt "93000,41000,93500,41000" +xt "96000,41000,96500,41000" pts [ -"93000,41000" -"93500,41000" +"96000,41000" +"96500,41000" ] ) ] @@ -641,9 +641,9 @@ f (Text uid 84,0 va (VaSet ) -xt "96000,40400,99500,41600" +xt "99000,40400,102500,41600" st "side2" -blo "96000,41400" +blo "99000,41400" tm "WireNameMgr" ) ) @@ -654,7 +654,7 @@ lang 11 decl (Decl n "side2" t "std_uLogic" -o 7 +o 8 suid 6,0 ) declText (MLText @@ -680,16 +680,16 @@ optionalChildren [ uid 95,0 sl 0 ro 270 -xt "54000,37625,55500,38375" +xt "57000,41625,58500,42375" ) (Line uid 96,0 sl 0 ro 270 -xt "55500,38000,56000,38000" +xt "58500,42000,59000,42000" pts [ -"55500,38000" -"56000,38000" +"58500,42000" +"59000,42000" ] ) ] @@ -704,10 +704,10 @@ f (Text uid 98,0 va (VaSet ) -xt "49500,37400,53000,38600" +xt "52500,41400,56000,42600" st "SideL" ju 2 -blo "53000,38400" +blo "56000,42400" tm "WireNameMgr" ) ) @@ -755,7 +755,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "29200,48000,39000,49000" +xt "29200,48000,41800,49000" st " by %user on %dd %month %year " @@ -1086,94 +1086,13 @@ xt "25000,44000,66000,49000" ) oxt "14000,66000,55000,71000" ) -*26 (Blk -uid 376,0 -shape (Rectangle -uid 377,0 -va (VaSet -vasetType 1 -fg "39936,56832,65280" -lineColor "0,0,32768" -lineWidth 2 -) -xt "70000,34000,78000,44000" -) -oxt "0,0,8000,10000" -ttg (MlTextGroup -uid 378,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*27 (Text -uid 379,0 -va (VaSet -font "Verdana,9,1" -) -xt "71600,37200,75300,38400" -st "Cursor" -blo "71600,38200" -tm "BdLibraryNameMgr" -) -*28 (Text -uid 380,0 -va (VaSet -font "Verdana,9,1" -) -xt "71600,38400,78300,39600" -st "Motor_side" -blo "71600,39400" -tm "BlkNameMgr" -) -*29 (Text -uid 381,0 -va (VaSet -font "Verdana,9,1" -) -xt "71600,39600,74100,40800" -st "U_3" -blo "71600,40600" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 382,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 383,0 -text (MLText -uid 384,0 -va (VaSet -font "Courier New,8,0" -) -xt "71600,47200,71600,47200" -) -header "" -) -elements [ -] -) -viewicon (ZoomableIcon -uid 385,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "70250,42250,71750,43750" -iconName "StateMachineViewIcon.png" -iconMaskName "StateMachineViewIcon.msk" -ftype 3 -) -viewiconposition 0 -) -*30 (Net +*26 (Net uid 414,0 lang 11 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 5 suid 13,0 ) declText (MLText @@ -1184,175 +1103,13 @@ font "Courier New,8,0" ) ) ) -*31 (Blk -uid 426,0 -shape (Rectangle -uid 427,0 -va (VaSet -vasetType 1 -fg "39936,56832,65280" -lineColor "0,0,32768" -lineWidth 2 -) -xt "24000,12000,37000,22000" -) -oxt "0,0,8000,10000" -ttg (MlTextGroup -uid 428,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*32 (Text -uid 429,0 -va (VaSet -font "Verdana,9,1" -) -xt "25600,15200,29300,16400" -st "Cursor" -blo "25600,16200" -tm "BdLibraryNameMgr" -) -*33 (Text -uid 430,0 -va (VaSet -font "Verdana,9,1" -) -xt "25600,16400,36200,17600" -st "Counter_Controller" -blo "25600,17400" -tm "BlkNameMgr" -) -*34 (Text -uid 431,0 -va (VaSet -font "Verdana,9,1" -) -xt "25600,17600,28100,18800" -st "U_0" -blo "25600,18600" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 432,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 433,0 -text (MLText -uid 434,0 -va (VaSet -font "Courier New,8,0" -) -xt "25600,25200,25600,25200" -) -header "" -) -elements [ -] -) -viewicon (ZoomableIcon -uid 435,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "24250,20250,25750,21750" -iconName "StateMachineViewIcon.png" -iconMaskName "StateMachineViewIcon.msk" -ftype 3 -) -viewiconposition 0 -) -*35 (Blk -uid 548,0 -shape (Rectangle -uid 549,0 -va (VaSet -vasetType 1 -fg "39936,56832,65280" -lineColor "0,0,32768" -lineWidth 2 -) -xt "48000,14000,56000,24000" -) -oxt "0,0,8000,10000" -ttg (MlTextGroup -uid 550,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*36 (Text -uid 551,0 -va (VaSet -font "Verdana,9,1" -) -xt "49600,17200,53300,18400" -st "Cursor" -blo "49600,18200" -tm "BdLibraryNameMgr" -) -*37 (Text -uid 552,0 -va (VaSet -font "Verdana,9,1" -) -xt "49600,18400,52800,19600" -st "PWM" -blo "49600,19400" -tm "BlkNameMgr" -) -*38 (Text -uid 553,0 -va (VaSet -font "Verdana,9,1" -) -xt "49600,19600,52100,20800" -st "U_4" -blo "49600,20600" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 554,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 555,0 -text (MLText -uid 556,0 -va (VaSet -font "Courier New,8,0" -) -xt "49600,27200,49600,27200" -) -header "" -) -elements [ -] -) -viewicon (ZoomableIcon -uid 557,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "48250,22250,49750,23750" -iconName "StateMachineViewIcon.png" -iconMaskName "StateMachineViewIcon.msk" -ftype 3 -) -viewiconposition 0 -) -*39 (Net +*27 (Net uid 574,0 decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 9 suid 19,0 ) declText (MLText @@ -1363,10 +1120,10 @@ font "Courier New,8,0" ) ) ) -*40 (SaComponent +*28 (SaComponent uid 744,0 optionalChildren [ -*41 (CptPort +*29 (CptPort uid 724,0 ps "OnEdgeStrategy" shape (Triangle @@ -1401,7 +1158,7 @@ suid 1,0 ) ) ) -*42 (CptPort +*30 (CptPort uid 728,0 ps "OnEdgeStrategy" shape (Triangle @@ -1439,7 +1196,7 @@ suid 2,0 ) ) ) -*43 (CptPort +*31 (CptPort uid 732,0 ps "OnEdgeStrategy" shape (Triangle @@ -1474,7 +1231,7 @@ suid 3,0 ) ) ) -*44 (CptPort +*32 (CptPort uid 736,0 ps "OnEdgeStrategy" shape (Triangle @@ -1509,7 +1266,7 @@ suid 4,0 ) ) ) -*45 (CptPort +*33 (CptPort uid 740,0 ps "OnEdgeStrategy" shape (Triangle @@ -1561,7 +1318,7 @@ uid 746,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*46 (Text +*34 (Text uid 747,0 va (VaSet ) @@ -1570,7 +1327,7 @@ st "sequential" blo "22300,42400" tm "BdLibraryNameMgr" ) -*47 (Text +*35 (Text uid 748,0 va (VaSet ) @@ -1579,7 +1336,7 @@ st "counterEnableResetSync" blo "22300,43600" tm "CptNameMgr" ) -*48 (Text +*36 (Text uid 749,0 va (VaSet ) @@ -1637,7 +1394,7 @@ sT 1 ) archFileType "UNKNOWN" ) -*49 (Net +*37 (Net uid 764,0 decl (Decl n "enable" @@ -1653,7 +1410,7 @@ font "Courier New,8,0" ) ) ) -*50 (Net +*38 (Net uid 772,0 decl (Decl n "resetSync" @@ -1669,115 +1426,250 @@ font "Courier New,8,0" ) ) ) -*51 (SaComponent -uid 840,0 +*39 (SaComponent +uid 1544,0 optionalChildren [ -*52 (CptPort -uid 836,0 +*40 (CptPort +uid 1524,0 ps "OnEdgeStrategy" shape (Triangle -uid 837,0 -ro 180 +uid 1525,0 +ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,65535,0" ) -xt "82625,13000,83375,13750" +xt "23250,14625,24000,15375" ) tg (CPTG -uid 838,0 +uid 1526,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 839,0 +uid 1527,0 va (VaSet -isHidden 1 +font "Verdana,12,0" ) -xt "84000,12000,88400,13200" -st "logic_1" -blo "84000,13000" +xt "25000,14300,28800,15700" +st "clock" +blo "25000,15500" ) -s (Text -uid 850,0 +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 16,0 +) +) +) +*41 (CptPort +uid 1528,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1529,0 +ro 270 va (VaSet +vasetType 1 +fg "0,65535,0" ) -xt "84000,13200,84000,13200" -blo "84000,13200" +xt "37000,16625,37750,17375" +) +tg (CPTG +uid 1530,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1531,0 +va (VaSet +font "Verdana,12,0" +) +xt "29400,16300,36000,17700" +st "countOut" +ju 2 +blo "36000,17500" +) +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 2 +suid 17,0 +) +) +) +*42 (CptPort +uid 1532,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1533,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,16625,24000,17375" +) +tg (CPTG +uid 1534,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1535,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,16300,30100,17700" +st "enable" +blo "25000,17500" ) ) thePort (LogicalPort m 1 decl (Decl -n "logic_1" -t "std_uLogic" -o 1 -suid 2,0 +n "enable" +t "std_ulogic" +o 4 +suid 18,0 +) +) +) +*43 (CptPort +uid 1536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1537,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,12625,24000,13375" +) +tg (CPTG +uid 1538,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1539,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,12300,29100,13700" +st "reset" +blo "25000,13500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 19,0 +) +) +) +*44 (CptPort +uid 1540,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1541,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 1542,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1543,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,19300,32000,20700" +st "resetSync" +blo "25000,20500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 20,0 ) ) ) ] -shape (Pu -uid 841,0 +shape (Rectangle +uid 1545,0 va (VaSet vasetType 1 fg "0,65535,0" -bg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "80000,7000,85000,13000" +xt "24000,12000,37000,22000" ) -showPorts 0 -oxt "34000,15000,39000,21000" +oxt "15000,6000,28000,16000" ttg (MlTextGroup -uid 842,0 +uid 1546,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*53 (Text -uid 843,0 +*45 (Text +uid 1547,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "79910,10700,83010,11700" -st "gates" -blo "79910,11500" +xt "24200,21800,27900,23000" +st "Cursor" +blo "24200,22800" tm "BdLibraryNameMgr" ) -*54 (Text -uid 844,0 +*46 (Text +uid 1548,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "79910,11700,83410,12700" -st "logic1" -blo "79910,12500" +xt "24200,23000,34800,24200" +st "Counter_Controller" +blo "24200,24000" tm "CptNameMgr" ) -*55 (Text -uid 845,0 +*47 (Text +uid 1549,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "79910,12700,82410,13700" -st "U_2" -blo "79910,13500" +xt "24200,24200,26700,25400" +st "U_0" +blo "24200,25200" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation -uid 846,0 +uid 1550,0 ps "EdgeToEdgeStrategy" matrix (Matrix -uid 847,0 +uid 1551,0 text (MLText -uid 848,0 +uid 1552,0 va (VaSet -font "Verdana,8,0" +font "Courier New,8,0" ) -xt "80000,15600,80000,15600" +xt "1000,13000,1000,13000" ) header "" ) @@ -1785,30 +1677,931 @@ elements [ ] ) viewicon (ZoomableIcon -uid 849,0 +uid 1553,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "80250,11250,81750,12750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 +xt "24250,20250,25750,21750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 ) viewiconposition 0 portVis (PortSigDisplay -disp 1 -sN 0 sTC 0 -sT 1 +sF 0 ) archFileType "UNKNOWN" ) -*56 (Wire +*48 (SaComponent +uid 1574,0 +optionalChildren [ +*49 (CptPort +uid 1554,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1555,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,13250,51375,14000" +) +tg (CPTG +uid 1556,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1557,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,15000,51700,18800" +st "clock" +ju 2 +blo "51500,15000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 27,0 +) +) +) +*50 (CptPort +uid 1558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47250,21625,48000,22375" +) +tg (CPTG +uid 1560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1561,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,21300,55600,22700" +st "countOut" +blo "49000,22500" +) +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 3 +suid 28,0 +) +) +) +*51 (CptPort +uid 1562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1563,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47250,15625,48000,16375" +) +tg (CPTG +uid 1564,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1565,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,15300,53800,16700" +st "Power" +blo "49000,16500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 29,0 +) +) +) +*52 (CptPort +uid 1566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1567,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,21625,56750,22375" +) +tg (CPTG +uid 1568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1569,0 +va (VaSet +font "Verdana,12,0" +) +xt "48200,21300,55000,22700" +st "PWM_out" +ju 2 +blo "55000,22500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +suid 30,0 +) +) +) +*53 (CptPort +uid 1570,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1571,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,13250,53375,14000" +) +tg (CPTG +uid 1572,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1573,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "52300,15000,53700,19100" +st "reset" +ju 2 +blo "53500,15000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 31,0 +) +) +) +] +shape (Rectangle +uid 1575,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "48000,14000,56000,24000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 1576,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 1577,0 +va (VaSet +font "Verdana,9,1" +) +xt "48150,23800,51850,25000" +st "Cursor" +blo "48150,24800" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 1578,0 +va (VaSet +font "Verdana,9,1" +) +xt "48150,25000,51350,26200" +st "PWM" +blo "48150,26000" +tm "CptNameMgr" +) +*56 (Text +uid 1579,0 +va (VaSet +font "Verdana,9,1" +) +xt "48150,26200,50650,27400" +st "U_4" +blo "48150,27200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1580,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1581,0 +text (MLText +uid 1582,0 +va (VaSet +font "Courier New,8,0" +) +xt "22500,15000,22500,15000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1583,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "48250,22250,49750,23750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*57 (SaComponent +uid 1612,0 +optionalChildren [ +*58 (CptPort +uid 1584,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1585,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72250,48625,73000,49375" +) +tg (CPTG +uid 1586,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1587,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,48300,77800,49700" +st "clock" +blo "74000,49500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 22,0 +) +) +) +*59 (CptPort +uid 1588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72250,45625,73000,46375" +) +tg (CPTG +uid 1590,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1591,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,45300,78800,46700" +st "Power" +blo "74000,46500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 2 +suid 23,0 +) +) +) +*60 (CptPort +uid 1592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72250,35625,73000,36375" +) +tg (CPTG +uid 1594,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1595,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,35300,80800,36700" +st "PWM_out" +blo "74000,36500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 1 +suid 24,0 +) +) +) +*61 (CptPort +uid 1596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1597,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72250,50625,73000,51375" +) +tg (CPTG +uid 1598,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1599,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,50300,78100,51700" +st "reset" +blo "74000,51500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 25,0 +) +) +) +*62 (CptPort +uid 1600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87000,36625,87750,37375" +) +tg (CPTG +uid 1602,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1603,0 +va (VaSet +font "Verdana,12,0" +) +xt "81800,36300,86000,37700" +st "side1" +ju 2 +blo "86000,37500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 26,0 +) +) +) +*63 (CptPort +uid 1604,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1605,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87000,40625,87750,41375" +) +tg (CPTG +uid 1606,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1607,0 +va (VaSet +font "Verdana,12,0" +) +xt "81800,40300,86000,41700" +st "side2" +ju 2 +blo "86000,41500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 27,0 +) +) +) +*64 (CptPort +uid 1608,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1609,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72250,41625,73000,42375" +) +tg (CPTG +uid 1610,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1611,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,41300,78200,42700" +st "SideL" +blo "74000,42500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 3 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 1613,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "73000,34000,87000,53000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 1614,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 1615,0 +va (VaSet +font "Verdana,9,1" +) +xt "79650,48800,83350,50000" +st "Cursor" +blo "79650,49800" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 1616,0 +va (VaSet +font "Verdana,9,1" +) +xt "79650,50000,86350,51200" +st "Motor_side" +blo "79650,51000" +tm "CptNameMgr" +) +*67 (Text +uid 1617,0 +va (VaSet +font "Verdana,9,1" +) +xt "79650,51200,82150,52400" +st "U_3" +blo "79650,52200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1618,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1619,0 +text (MLText +uid 1620,0 +va (VaSet +font "Courier New,8,0" +) +xt "43000,35000,43000,35000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1621,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "73250,51250,74750,52750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*68 (PortIoOut +uid 2214,0 +shape (CompositeShape +uid 2215,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2216,0 +sl 0 +ro 270 +xt "85500,21625,87000,22375" +) +(Line +uid 2217,0 +sl 0 +ro 270 +xt "85000,22000,85500,22000" +pts [ +"85000,22000" +"85500,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 2218,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2219,0 +va (VaSet +) +xt "88000,21400,93400,22600" +st "PWM_out" +blo "88000,22400" +tm "WireNameMgr" +) +) +) +*69 (SaComponent +uid 2328,0 +optionalChildren [ +*70 (CptPort +uid 2312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2313,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,3250,75375,4000" +) +tg (CPTG +uid 2314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2315,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74300,5000,75700,8800" +st "clock" +ju 2 +blo "75500,5000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +) +*71 (CptPort +uid 2316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80000,8625,80750,9375" +) +tg (CPTG +uid 2318,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2319,0 +va (VaSet +font "Verdana,12,0" +) +xt "72700,8300,79000,9700" +st "motorOn" +ju 2 +blo "79000,9500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 16,0 +) +) +) +*72 (CptPort +uid 2320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,9625,72000,10375" +) +tg (CPTG +uid 2322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,9300,77800,10700" +st "Power" +blo "73000,10500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 17,0 +) +) +) +*73 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,3250,77375,4000" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2327,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "76300,5000,77700,9100" +st "reset" +ju 2 +blo "77500,5000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 18,0 +) +) +) +] +shape (Rectangle +uid 2329,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "72000,4000,80000,14000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 2330,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 2331,0 +va (VaSet +font "Verdana,9,1" +) +xt "74150,7800,77850,9000" +st "Cursor" +blo "74150,8800" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 2332,0 +va (VaSet +font "Verdana,9,1" +) +xt "74150,9000,76150,10200" +st "if0" +blo "74150,10000" +tm "CptNameMgr" +) +*76 (Text +uid 2333,0 +va (VaSet +font "Verdana,9,1" +) +xt "74150,10200,76650,11400" +st "U_2" +blo "74150,11200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2334,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2335,0 +text (MLText +uid 2336,0 +va (VaSet +font "Courier New,8,0" +) +xt "40500,5000,40500,5000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2337,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "72250,12250,73750,13750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*77 (Wire uid 15,0 optionalChildren [ -*57 (BdJunction +*78 (BdJunction uid 564,0 ps "OnConnectorStrategy" shape (Circle @@ -1833,7 +2626,7 @@ pts [ ] ) start &1 -end &41 +end &29 es 0 sat 32 eat 32 @@ -1857,22 +2650,22 @@ tm "WireNameMgr" ) on &2 ) -*58 (Wire +*79 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "83000,13000,97000,21000" +xt "80750,9000,86000,17000" pts [ -"97000,21000" -"83000,21000" -"83000,13000" +"86000,17000" +"86000,9000" +"80750,9000" ] ) start &3 -end &52 +end &71 sat 32 eat 32 stc 0 @@ -1888,18 +2681,18 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "96000,19800,101100,21000" +xt "85000,15800,90100,17000" st "motorOn" -blo "96000,20800" +blo "85000,16800" tm "WireNameMgr" ) ) on &4 ) -*59 (Wire +*80 (Wire uid 43,0 optionalChildren [ -*60 (BdJunction +*81 (BdJunction uid 857,0 ps "OnConnectorStrategy" shape (Circle @@ -1918,18 +2711,18 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "28000,7000,48000,16000" +xt "28000,7000,47250,16000" pts [ "28000,7000" "45000,7000" "45000,16000" -"48000,16000" +"47250,16000" ] ) start &5 -end &35 +end &51 sat 32 -eat 1 +eat 32 sty 1 stc 0 st 0 @@ -1952,10 +2745,10 @@ tm "WireNameMgr" ) on &6 ) -*61 (Wire +*82 (Wire uid 57,0 optionalChildren [ -*62 (BdJunction +*83 (BdJunction uid 572,0 ps "OnConnectorStrategy" shape (Circle @@ -1980,7 +2773,7 @@ pts [ ] ) start &7 -end &43 +end &31 es 0 sat 32 eat 32 @@ -2004,23 +2797,23 @@ tm "WireNameMgr" ) on &8 ) -*63 (Wire +*84 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 va (VaSet vasetType 3 ) -xt "78000,37000,93000,37000" +xt "87750,37000,96000,37000" pts [ -"93000,37000" -"78000,37000" +"96000,37000" +"87750,37000" ] ) start &9 -end &26 +end &62 sat 32 -eat 2 +eat 32 st 0 sf 1 si 0 @@ -2033,31 +2826,31 @@ uid 76,0 va (VaSet isHidden 1 ) -xt "92000,35800,95500,37000" +xt "95000,35800,98500,37000" st "side1" -blo "92000,36800" +blo "95000,36800" tm "WireNameMgr" ) ) on &10 ) -*64 (Wire +*85 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 va (VaSet vasetType 3 ) -xt "78000,41000,93000,41000" +xt "87750,41000,96000,41000" pts [ -"93000,41000" -"78000,41000" +"96000,41000" +"87750,41000" ] ) start &11 -end &26 +end &63 sat 32 -eat 2 +eat 32 st 0 sf 1 si 0 @@ -2070,31 +2863,31 @@ uid 90,0 va (VaSet isHidden 1 ) -xt "92000,39800,95500,41000" +xt "95000,39800,98500,41000" st "side2" -blo "92000,40800" +blo "95000,40800" tm "WireNameMgr" ) ) on &12 ) -*65 (Wire +*86 (Wire uid 99,0 shape (OrthoPolyLine uid 100,0 va (VaSet vasetType 3 ) -xt "56000,38000,70000,38000" +xt "59000,42000,72250,42000" pts [ -"56000,38000" -"70000,38000" +"59000,42000" +"72250,42000" ] ) start &13 -end &26 +end &64 sat 32 -eat 1 +eat 32 st 0 sf 1 si 0 @@ -2107,33 +2900,47 @@ uid 104,0 va (VaSet isHidden 1 ) -xt "58000,36800,61500,38000" +xt "61000,40800,64500,42000" st "SideL" -blo "58000,37800" +blo "61000,41800" tm "WireNameMgr" ) ) on &14 ) -*66 (Wire +*87 (Wire uid 406,0 +optionalChildren [ +*88 (BdJunction +uid 2224,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2225,0 +va (VaSet +vasetType 1 +) +xt "63600,21600,64400,22400" +radius 400 +) +) +] shape (OrthoPolyLine uid 407,0 va (VaSet vasetType 3 ) -xt "56000,22000,70000,36000" +xt "56750,22000,72250,36000" pts [ -"56000,22000" +"56750,22000" "64000,22000" "64000,36000" -"70000,36000" +"72250,36000" ] ) -start &35 -end &26 -sat 2 -eat 1 +start &52 +end &60 +sat 32 +eat 32 st 0 sf 1 si 0 @@ -2145,32 +2952,32 @@ f (Text uid 413,0 va (VaSet ) -xt "58000,20800,63400,22000" +xt "59000,20800,64400,22000" st "PWM_out" -blo "58000,21800" +blo "59000,21800" tm "WireNameMgr" ) ) -on &30 +on &26 ) -*67 (Wire +*89 (Wire uid 558,0 shape (OrthoPolyLine uid 559,0 va (VaSet vasetType 3 ) -xt "6000,15000,24000,37000" +xt "6000,15000,23250,37000" pts [ "6000,37000" "6000,15000" -"24000,15000" +"23250,15000" ] ) -start &57 -end &31 +start &78 +end &40 sat 32 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -2183,32 +2990,32 @@ f (Text uid 563,0 va (VaSet ) -xt "20000,13800,23400,15000" +xt "19000,13800,22400,15000" st "clock" -blo "20000,14800" +blo "19000,14800" tm "WireNameMgr" ) ) on &2 ) -*68 (Wire +*90 (Wire uid 566,0 shape (OrthoPolyLine uid 567,0 va (VaSet vasetType 3 ) -xt "7000,13000,24000,39000" +xt "7000,13000,23250,39000" pts [ "7000,39000" "7000,13000" -"24000,13000" +"23250,13000" ] ) -start &62 -end &31 +start &83 +end &43 sat 32 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -2221,15 +3028,15 @@ f (Text uid 571,0 va (VaSet ) -xt "20000,11800,23300,13000" +xt "19000,11800,22300,13000" st "reset" -blo "20000,12800" +blo "19000,12800" tm "WireNameMgr" ) ) on &8 ) -*69 (Wire +*91 (Wire uid 582,0 shape (OrthoPolyLine uid 583,0 @@ -2237,15 +3044,15 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "37000,17000,44000,22000" +xt "37750,17000,44000,22000" pts [ "44000,22000" "42000,22000" "42000,17000" -"37000,17000" +"37750,17000" ] ) -start *70 (BdJunction +start *92 (BdJunction uid 762,0 ps "OnConnectorStrategy" shape (Circle @@ -2257,9 +3064,9 @@ xt "43600,21600,44400,22400" radius 400 ) ) -end &31 +end &41 sat 32 -eat 1 +eat 32 sty 1 stc 0 st 0 @@ -2273,30 +3080,30 @@ f (Text uid 587,0 va (VaSet ) -xt "39000,15800,44400,17000" +xt "40000,15800,45400,17000" st "countOut" -blo "39000,16800" +blo "40000,16800" tm "WireNameMgr" ) ) -on &39 +on &27 ) -*71 (Wire +*93 (Wire uid 592,0 shape (OrthoPolyLine uid 593,0 va (VaSet vasetType 3 ) -xt "51000,10000,51000,14000" +xt "51000,10000,51000,13250" pts [ "51000,10000" -"51000,14000" +"51000,13250" ] ) -end &35 +end &49 sat 16 -eat 1 +eat 32 st 0 sf 1 si 0 @@ -2309,30 +3116,30 @@ uid 599,0 ro 270 va (VaSet ) -xt "49800,11500,51000,14900" +xt "49800,10500,51000,13900" st "clock" -blo "50800,14900" +blo "50800,13900" tm "WireNameMgr" ) ) on &2 ) -*72 (Wire +*94 (Wire uid 602,0 shape (OrthoPolyLine uid 603,0 va (VaSet vasetType 3 ) -xt "53000,10000,53000,14000" +xt "53000,10000,53000,13250" pts [ "53000,10000" -"53000,14000" +"53000,13250" ] ) -end &35 +end &53 sat 16 -eat 1 +eat 32 st 0 sf 1 si 0 @@ -2345,30 +3152,30 @@ uid 609,0 ro 270 va (VaSet ) -xt "51800,11600,53000,14900" +xt "51800,10600,53000,13900" st "reset" -blo "52800,14900" +blo "52800,13900" tm "WireNameMgr" ) ) on &8 ) -*73 (Wire +*95 (Wire uid 612,0 shape (OrthoPolyLine uid 613,0 va (VaSet vasetType 3 ) -xt "73000,29000,73000,34000" +xt "66000,49000,72250,49000" pts [ -"73000,29000" -"73000,34000" +"66000,49000" +"72250,49000" ] ) -end &26 +end &58 sat 16 -eat 1 +eat 32 st 0 sf 1 si 0 @@ -2378,33 +3185,32 @@ ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 619,0 -ro 270 va (VaSet ) -xt "71800,30500,73000,33900" +xt "67000,47800,70400,49000" st "clock" -blo "72800,33900" +blo "67000,48800" tm "WireNameMgr" ) ) on &2 ) -*74 (Wire +*96 (Wire uid 622,0 shape (OrthoPolyLine uid 623,0 va (VaSet vasetType 3 ) -xt "76000,29000,76000,34000" +xt "66000,51000,72250,51000" pts [ -"76000,29000" -"76000,34000" +"66000,51000" +"72250,51000" ] ) -end &26 +end &61 sat 16 -eat 1 +eat 32 st 0 sf 1 si 0 @@ -2414,21 +3220,20 @@ ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 629,0 -ro 270 va (VaSet ) -xt "74800,30600,76000,33900" +xt "67000,49800,70300,51000" st "reset" -blo "75800,33900" +blo "67000,50800" tm "WireNameMgr" ) ) on &8 ) -*75 (Wire +*97 (Wire uid 756,0 optionalChildren [ -&70 +&92 ] shape (OrthoPolyLine uid 757,0 @@ -2436,18 +3241,18 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "38750,22000,48000,33000" +xt "38750,22000,47250,33000" pts [ "38750,33000" "44000,33000" "44000,22000" -"48000,22000" +"47250,22000" ] ) -start &42 -end &35 +start &30 +end &50 sat 32 -eat 1 +eat 32 sty 1 stc 0 sf 1 @@ -2466,27 +3271,27 @@ blo "40750,32800" tm "WireNameMgr" ) ) -on &39 +on &27 ) -*76 (Wire +*98 (Wire uid 766,0 shape (OrthoPolyLine uid 767,0 va (VaSet vasetType 3 ) -xt "11000,17000,24000,33000" +xt "11000,17000,23250,33000" pts [ "21250,33000" "11000,33000" "11000,17000" -"24000,17000" +"23250,17000" ] ) -start &44 -end &31 +start &32 +end &42 sat 32 -eat 2 +eat 32 stc 0 sf 1 si 0 @@ -2504,27 +3309,27 @@ blo "16250,32800" tm "WireNameMgr" ) ) -on &49 +on &37 ) -*77 (Wire +*99 (Wire uid 774,0 shape (OrthoPolyLine uid 775,0 va (VaSet vasetType 3 ) -xt "13000,20000,24000,31000" +xt "13000,20000,23250,31000" pts [ "21250,31000" "13000,31000" "13000,20000" -"24000,20000" +"23250,20000" ] ) -start &45 -end &31 +start &33 +end &44 sat 32 -eat 2 +eat 32 stc 0 sf 1 si 0 @@ -2542,28 +3347,42 @@ blo "14250,30800" tm "WireNameMgr" ) ) -on &50 +on &38 ) -*78 (Wire +*100 (Wire uid 851,0 +optionalChildren [ +*101 (BdJunction +uid 1742,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1743,0 +va (VaSet +vasetType 1 +) +xt "66600,9600,67400,10400" +radius 400 +) +) +] shape (OrthoPolyLine uid 852,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "45000,8000,70000,42000" +xt "45000,8000,72250,46000" pts [ "45000,8000" "67000,8000" -"67000,42000" -"70000,42000" +"67000,46000" +"72250,46000" ] ) -start &60 -end &26 +start &81 +end &59 sat 32 -eat 1 +eat 32 sty 1 stc 0 st 0 @@ -2577,14 +3396,163 @@ f (Text uid 856,0 va (VaSet ) -xt "65000,40800,68700,42000" +xt "67000,44800,70700,46000" st "Power" -blo "65000,41800" +blo "67000,45800" tm "WireNameMgr" ) ) on &6 ) +*102 (Wire +uid 1736,0 +shape (OrthoPolyLine +uid 1737,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,10000,71250,10000" +pts [ +"67000,10000" +"71250,10000" +] +) +start &101 +end &72 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1741,0 +va (VaSet +) +xt "67000,8800,70700,10000" +st "Power" +blo "67000,9800" +tm "WireNameMgr" +) +) +on &6 +) +*103 (Wire +uid 1768,0 +shape (OrthoPolyLine +uid 1769,0 +va (VaSet +vasetType 3 +) +xt "75000,0,75000,3250" +pts [ +"75000,0" +"75000,3250" +] +) +end &70 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1775,0 +ro 270 +va (VaSet +) +xt "73800,500,75000,3900" +st "clock" +blo "74800,3900" +tm "WireNameMgr" +) +) +on &2 +) +*104 (Wire +uid 1776,0 +shape (OrthoPolyLine +uid 1777,0 +va (VaSet +vasetType 3 +) +xt "77000,0,77000,3250" +pts [ +"77000,0" +"77000,3250" +] +) +end &73 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +ro 270 +va (VaSet +) +xt "75800,600,77000,3900" +st "reset" +blo "76800,3900" +tm "WireNameMgr" +) +) +on &8 +) +*105 (Wire +uid 2220,0 +shape (OrthoPolyLine +uid 2221,0 +va (VaSet +vasetType 3 +) +xt "64000,22000,85000,22000" +pts [ +"64000,22000" +"85000,22000" +] +) +start &88 +end &68 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2222,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2223,0 +va (VaSet +isHidden 1 +) +xt "79000,20800,84400,22000" +st "PWM_out" +blo "79000,21800" +tm "WireNameMgr" +) +) +on &26 +) ] bg "65535,65535,65535" grid (Grid @@ -2597,11 +3565,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *79 (PackageList +packageList *106 (PackageList uid 153,0 stg "VerticalLayoutStrategy" textVec [ -*80 (Text +*107 (Text uid 154,0 va (VaSet isHidden 1 @@ -2611,7 +3579,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*81 (MLText +*108 (MLText uid 155,0 va (VaSet isHidden 1 @@ -2630,7 +3598,7 @@ compDirBlock (MlTextGroup uid 156,0 stg "VerticalLayoutStrategy" textVec [ -*82 (Text +*109 (Text uid 157,0 va (VaSet isHidden 1 @@ -2640,7 +3608,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*83 (Text +*110 (Text uid 158,0 va (VaSet isHidden 1 @@ -2650,7 +3618,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*84 (MLText +*111 (MLText uid 159,0 va (VaSet isHidden 1 @@ -2660,7 +3628,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*85 (Text +*112 (Text uid 160,0 va (VaSet isHidden 1 @@ -2670,7 +3638,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*86 (MLText +*113 (MLText uid 161,0 va (VaSet isHidden 1 @@ -2678,7 +3646,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*87 (Text +*114 (Text uid 162,0 va (VaSet isHidden 1 @@ -2688,7 +3656,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*88 (MLText +*115 (MLText uid 163,0 va (VaSet isHidden 1 @@ -2699,12 +3667,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1715,1143" -viewArea "-6700,-18800,136052,76336" -cachedDiagramExtent "-1400,0,105100,49000" +windowSize "104,0,1825,1080" +viewArea "-5300,-15100,106675,56300" +cachedDiagramExtent "-1400,-400,102500,53000" hasePageBreakOrigin 1 -pageBreakOrigin "-7000,0" -lastUid 1393,0 +pageBreakOrigin "-7000,-49000" +lastUid 2337,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2794,7 +3762,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*89 (Text +*116 (Text va (VaSet font "Verdana,9,1" ) @@ -2803,7 +3771,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*90 (Text +*117 (Text va (VaSet font "Verdana,9,1" ) @@ -2812,7 +3780,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*91 (Text +*118 (Text va (VaSet font "Verdana,9,1" ) @@ -2863,7 +3831,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*92 (Text +*119 (Text va (VaSet font "Verdana,9,1" ) @@ -2871,7 +3839,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*93 (Text +*120 (Text va (VaSet font "Verdana,9,1" ) @@ -2879,7 +3847,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*94 (Text +*121 (Text va (VaSet font "Verdana,9,1" ) @@ -2928,7 +3896,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*95 (Text +*122 (Text va (VaSet font "Verdana,9,1" ) @@ -2937,7 +3905,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*96 (Text +*123 (Text va (VaSet font "Verdana,9,1" ) @@ -2946,7 +3914,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*97 (Text +*124 (Text va (VaSet font "Verdana,9,1" ) @@ -3000,7 +3968,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*98 (Text +*125 (Text va (VaSet font "Verdana,9,1" ) @@ -3008,7 +3976,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*99 (Text +*126 (Text va (VaSet font "Verdana,9,1" ) @@ -3016,7 +3984,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*100 (Text +*127 (Text va (VaSet font "Verdana,9,1" ) @@ -3061,7 +4029,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*101 (Text +*128 (Text va (VaSet font "Verdana,9,1" ) @@ -3069,7 +4037,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*102 (Text +*129 (Text va (VaSet font "Verdana,9,1" ) @@ -3077,7 +4045,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*103 (Text +*130 (Text va (VaSet font "Verdana,9,1" ) @@ -3118,7 +4086,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*104 (Text +*131 (Text va (VaSet font "Verdana,9,1" ) @@ -3127,7 +4095,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*105 (Text +*132 (Text va (VaSet font "Verdana,9,1" ) @@ -3530,7 +4498,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*106 (Text +*133 (Text va (VaSet font "Verdana,9,1" ) @@ -3538,7 +4506,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*107 (MLText +*134 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3590,7 +4558,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*108 (Text +*135 (Text va (VaSet font "Verdana,9,1" ) @@ -3598,7 +4566,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*109 (MLText +*136 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3747,46 +4715,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 45,0 +suid 47,0 usingSuid 1 -emptyRow *110 (LEmptyRow +emptyRow *137 (LEmptyRow ) uid 166,0 optionalChildren [ -*111 (RefLabelRowHdr +*138 (RefLabelRowHdr ) -*112 (TitleRowHdr +*139 (TitleRowHdr ) -*113 (FilterRowHdr +*140 (FilterRowHdr ) -*114 (RefLabelColHdr +*141 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*115 (RowExpandColHdr +*142 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*116 (GroupColHdr +*143 (GroupColHdr tm "GroupColHdrMgr" ) -*117 (NameColHdr +*144 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*118 (ModeColHdr +*145 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*119 (TypeColHdr +*146 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*120 (BoundsColHdr +*147 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*121 (InitColHdr +*148 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*122 (EolColHdr +*149 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*123 (LeafLogPort +*150 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3799,7 +4767,7 @@ suid 3,0 ) uid 107,0 ) -*124 (LeafLogPort +*151 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3811,7 +4779,7 @@ suid 7,0 ) uid 109,0 ) -*125 (LeafLogPort +*152 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3823,84 +4791,84 @@ suid 1,0 ) uid 111,0 ) -*126 (LeafLogPort +*153 (LeafLogPort port (LogicalPort lang 11 m 1 decl (Decl n "motorOn" t "std_uLogic" -o 4 +o 6 suid 2,0 ) ) uid 113,0 ) -*127 (LeafLogPort +*154 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 4,0 ) ) uid 115,0 ) -*128 (LeafLogPort +*155 (LeafLogPort port (LogicalPort lang 11 m 1 decl (Decl n "side1" t "std_uLogic" -o 6 +o 7 suid 5,0 ) ) uid 117,0 ) -*129 (LeafLogPort +*156 (LeafLogPort port (LogicalPort lang 11 m 1 decl (Decl n "side2" t "std_uLogic" -o 7 +o 8 suid 6,0 ) ) uid 119,0 ) -*130 (LeafLogPort +*157 (LeafLogPort port (LogicalPort lang 11 -m 4 +m 1 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 5 suid 13,0 ) ) uid 424,0 ) -*131 (LeafLogPort +*158 (LeafLogPort port (LogicalPort m 4 decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 9 suid 19,0 ) ) uid 630,0 ) -*132 (LeafLogPort +*159 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -3912,7 +4880,7 @@ suid 25,0 ) uid 780,0 ) -*133 (LeafLogPort +*160 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -3931,7 +4899,7 @@ displayShortBounds 1 editShortBounds 1 uid 179,0 optionalChildren [ -*134 (Sheet +*161 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3948,94 +4916,94 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *135 (MRCItem -litem &110 +emptyMRCItem *162 (MRCItem +litem &137 pos 11 dimension 20 ) uid 181,0 optionalChildren [ -*136 (MRCItem -litem &111 +*163 (MRCItem +litem &138 pos 0 dimension 20 uid 182,0 ) -*137 (MRCItem -litem &112 +*164 (MRCItem +litem &139 pos 1 dimension 23 uid 183,0 ) -*138 (MRCItem -litem &113 +*165 (MRCItem +litem &140 pos 2 hidden 1 dimension 20 uid 184,0 ) -*139 (MRCItem -litem &123 +*166 (MRCItem +litem &150 pos 0 dimension 20 uid 108,0 ) -*140 (MRCItem -litem &124 +*167 (MRCItem +litem &151 pos 1 dimension 20 uid 110,0 ) -*141 (MRCItem -litem &125 +*168 (MRCItem +litem &152 pos 2 dimension 20 uid 112,0 ) -*142 (MRCItem -litem &126 +*169 (MRCItem +litem &153 pos 3 dimension 20 uid 114,0 ) -*143 (MRCItem -litem &127 +*170 (MRCItem +litem &154 pos 4 dimension 20 uid 116,0 ) -*144 (MRCItem -litem &128 +*171 (MRCItem +litem &155 pos 5 dimension 20 uid 118,0 ) -*145 (MRCItem -litem &129 +*172 (MRCItem +litem &156 pos 6 dimension 20 uid 120,0 ) -*146 (MRCItem -litem &130 +*173 (MRCItem +litem &157 pos 7 dimension 20 uid 425,0 ) -*147 (MRCItem -litem &131 +*174 (MRCItem +litem &158 pos 8 dimension 20 uid 631,0 ) -*148 (MRCItem -litem &132 +*175 (MRCItem +litem &159 pos 9 dimension 20 uid 781,0 ) -*149 (MRCItem -litem &133 +*176 (MRCItem +litem &160 pos 10 dimension 20 uid 783,0 @@ -4051,50 +5019,50 @@ textAngle 90 ) uid 185,0 optionalChildren [ -*150 (MRCItem -litem &114 +*177 (MRCItem +litem &141 pos 0 dimension 20 uid 186,0 ) -*151 (MRCItem -litem &116 +*178 (MRCItem +litem &143 pos 1 dimension 50 uid 187,0 ) -*152 (MRCItem -litem &117 +*179 (MRCItem +litem &144 pos 2 dimension 100 uid 188,0 ) -*153 (MRCItem -litem &118 +*180 (MRCItem +litem &145 pos 3 dimension 50 uid 189,0 ) -*154 (MRCItem -litem &119 +*181 (MRCItem +litem &146 pos 4 dimension 100 uid 190,0 ) -*155 (MRCItem -litem &120 +*182 (MRCItem +litem &147 pos 5 dimension 100 uid 191,0 ) -*156 (MRCItem -litem &121 +*183 (MRCItem +litem &148 pos 6 dimension 50 uid 192,0 ) -*157 (MRCItem -litem &122 +*184 (MRCItem +litem &149 pos 7 dimension 80 uid 193,0 @@ -4114,38 +5082,38 @@ uid 165,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *158 (LEmptyRow +emptyRow *185 (LEmptyRow ) uid 195,0 optionalChildren [ -*159 (RefLabelRowHdr +*186 (RefLabelRowHdr ) -*160 (TitleRowHdr +*187 (TitleRowHdr ) -*161 (FilterRowHdr +*188 (FilterRowHdr ) -*162 (RefLabelColHdr +*189 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*163 (RowExpandColHdr +*190 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*164 (GroupColHdr +*191 (GroupColHdr tm "GroupColHdrMgr" ) -*165 (NameColHdr +*192 (NameColHdr tm "GenericNameColHdrMgr" ) -*166 (TypeColHdr +*193 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*167 (InitColHdr +*194 (InitColHdr tm "GenericValueColHdrMgr" ) -*168 (PragmaColHdr +*195 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*169 (EolColHdr +*196 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -4155,7 +5123,7 @@ displayShortBounds 1 editShortBounds 1 uid 207,0 optionalChildren [ -*170 (Sheet +*197 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -4172,27 +5140,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *171 (MRCItem -litem &158 +emptyMRCItem *198 (MRCItem +litem &185 pos 0 dimension 20 ) uid 209,0 optionalChildren [ -*172 (MRCItem -litem &159 +*199 (MRCItem +litem &186 pos 0 dimension 20 uid 210,0 ) -*173 (MRCItem -litem &160 +*200 (MRCItem +litem &187 pos 1 dimension 23 uid 211,0 ) -*174 (MRCItem -litem &161 +*201 (MRCItem +litem &188 pos 2 hidden 1 dimension 20 @@ -4209,44 +5177,44 @@ textAngle 90 ) uid 213,0 optionalChildren [ -*175 (MRCItem -litem &162 +*202 (MRCItem +litem &189 pos 0 dimension 20 uid 214,0 ) -*176 (MRCItem -litem &164 +*203 (MRCItem +litem &191 pos 1 dimension 50 uid 215,0 ) -*177 (MRCItem -litem &165 +*204 (MRCItem +litem &192 pos 2 dimension 100 uid 216,0 ) -*178 (MRCItem -litem &166 +*205 (MRCItem +litem &193 pos 3 dimension 100 uid 217,0 ) -*179 (MRCItem -litem &167 +*206 (MRCItem +litem &194 pos 4 dimension 50 uid 218,0 ) -*180 (MRCItem -litem &168 +*207 (MRCItem +litem &195 pos 5 dimension 50 uid 219,0 ) -*181 (MRCItem -litem &169 +*208 (MRCItem +litem &196 pos 6 dimension 80 uid 220,0 diff --git a/Cursor/hds/@driver/symbol.sb b/Cursor/hds/@driver/symbol.sb index e895902..38445e9 100644 --- a/Cursor/hds/@driver/symbol.sb +++ b/Cursor/hds/@driver/symbol.sb @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 7,0 +suid 8,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -79,7 +79,7 @@ m 1 decl (Decl n "motorOn" t "std_uLogic" -o 5 +o 6 suid 2,0 ) ) @@ -117,7 +117,7 @@ m 1 decl (Decl n "side1" t "std_uLogic" -o 6 +o 7 suid 5,0 ) ) @@ -130,7 +130,7 @@ m 1 decl (Decl n "side2" t "std_uLogic" -o 7 +o 8 suid 6,0 ) ) @@ -148,6 +148,19 @@ suid 7,0 ) uid 98,0 ) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 1239,0 +) ] ) pdm (PhysicalDM @@ -155,7 +168,7 @@ displayShortBounds 1 editShortBounds 1 uid 115,0 optionalChildren [ -*21 (Sheet +*22 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -172,74 +185,80 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *22 (MRCItem +emptyMRCItem *23 (MRCItem litem &1 pos 3 dimension 20 ) uid 117,0 optionalChildren [ -*23 (MRCItem +*24 (MRCItem litem &2 pos 0 dimension 20 uid 118,0 ) -*24 (MRCItem +*25 (MRCItem litem &3 pos 1 dimension 23 uid 119,0 ) -*25 (MRCItem +*26 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 120,0 ) -*26 (MRCItem +*27 (MRCItem litem &14 pos 2 dimension 20 uid 87,0 ) -*27 (MRCItem +*28 (MRCItem litem &15 pos 3 dimension 20 uid 89,0 ) -*28 (MRCItem +*29 (MRCItem litem &16 pos 0 dimension 20 uid 91,0 ) -*29 (MRCItem +*30 (MRCItem litem &17 pos 4 dimension 20 uid 93,0 ) -*30 (MRCItem +*31 (MRCItem litem &18 pos 5 dimension 20 uid 95,0 ) -*31 (MRCItem +*32 (MRCItem litem &19 pos 6 dimension 20 uid 97,0 ) -*32 (MRCItem +*33 (MRCItem litem &20 pos 1 dimension 20 uid 99,0 ) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1238,0 +) ] ) sheetCol (SheetCol @@ -251,49 +270,49 @@ textAngle 90 ) uid 121,0 optionalChildren [ -*33 (MRCItem +*35 (MRCItem litem &5 pos 0 dimension 20 uid 122,0 ) -*34 (MRCItem +*36 (MRCItem litem &7 pos 1 dimension 50 uid 123,0 ) -*35 (MRCItem +*37 (MRCItem litem &8 pos 2 dimension 100 uid 124,0 ) -*36 (MRCItem +*38 (MRCItem litem &9 pos 3 dimension 50 uid 125,0 ) -*37 (MRCItem +*39 (MRCItem litem &10 pos 4 dimension 100 uid 126,0 ) -*38 (MRCItem +*40 (MRCItem litem &11 pos 5 dimension 100 uid 127,0 ) -*39 (MRCItem +*41 (MRCItem litem &12 pos 6 dimension 50 uid 128,0 ) -*40 (MRCItem +*42 (MRCItem litem &13 pos 7 dimension 80 @@ -314,38 +333,38 @@ uid 101,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *41 (LEmptyRow +emptyRow *43 (LEmptyRow ) uid 131,0 optionalChildren [ -*42 (RefLabelRowHdr +*44 (RefLabelRowHdr ) -*43 (TitleRowHdr +*45 (TitleRowHdr ) -*44 (FilterRowHdr +*46 (FilterRowHdr ) -*45 (RefLabelColHdr +*47 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*46 (RowExpandColHdr +*48 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*47 (GroupColHdr +*49 (GroupColHdr tm "GroupColHdrMgr" ) -*48 (NameColHdr +*50 (NameColHdr tm "GenericNameColHdrMgr" ) -*49 (TypeColHdr +*51 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*50 (InitColHdr +*52 (InitColHdr tm "GenericValueColHdrMgr" ) -*51 (PragmaColHdr +*53 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*52 (EolColHdr +*54 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -355,7 +374,7 @@ displayShortBounds 1 editShortBounds 1 uid 143,0 optionalChildren [ -*53 (Sheet +*55 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -372,27 +391,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *54 (MRCItem -litem &41 +emptyMRCItem *56 (MRCItem +litem &43 pos 3 dimension 20 ) uid 145,0 optionalChildren [ -*55 (MRCItem -litem &42 +*57 (MRCItem +litem &44 pos 0 dimension 20 uid 146,0 ) -*56 (MRCItem -litem &43 +*58 (MRCItem +litem &45 pos 1 dimension 23 uid 147,0 ) -*57 (MRCItem -litem &44 +*59 (MRCItem +litem &46 pos 2 hidden 1 dimension 20 @@ -409,44 +428,44 @@ textAngle 90 ) uid 149,0 optionalChildren [ -*58 (MRCItem -litem &45 +*60 (MRCItem +litem &47 pos 0 dimension 20 uid 150,0 ) -*59 (MRCItem -litem &47 +*61 (MRCItem +litem &49 pos 1 dimension 50 uid 151,0 ) -*60 (MRCItem -litem &48 +*62 (MRCItem +litem &50 pos 2 dimension 100 uid 152,0 ) -*61 (MRCItem -litem &49 +*63 (MRCItem +litem &51 pos 3 dimension 100 uid 153,0 ) -*62 (MRCItem -litem &50 +*64 (MRCItem +litem &52 pos 4 dimension 50 uid 154,0 ) -*63 (MRCItem -litem &51 +*65 (MRCItem +litem &53 pos 5 dimension 50 uid 155,0 ) -*64 (MRCItem -litem &52 +*66 (MRCItem +litem &54 pos 6 dimension 80 uid 156,0 @@ -469,23 +488,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -505,27 +524,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -549,11 +568,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -561,11 +580,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "13:48:40" +value "16:57:11" ) (vvPair variable "group" @@ -573,7 +592,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "language" @@ -589,7 +608,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -597,19 +616,19 @@ value "Driver" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\symbol.sb" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\symbol.sb" ) (vvPair variable "package_name" @@ -637,7 +656,7 @@ value "symbol" ) (vvPair variable "time" -value "13:48:40" +value "16:57:11" ) (vvPair variable "unit" @@ -645,7 +664,7 @@ value "Driver" ) (vvPair variable "user" -value "Simon" +value "remi.heredero" ) (vvPair variable "version" @@ -657,21 +676,21 @@ value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 100,0 optionalChildren [ -*65 (SymbolBody +*67 (SymbolBody uid 8,0 optionalChildren [ -*66 (CptPort +*68 (CptPort uid 51,0 ps "OnEdgeStrategy" shape (Triangle @@ -716,7 +735,7 @@ suid 1,0 ) ) ) -*67 (CptPort +*69 (CptPort uid 56,0 ps "OnEdgeStrategy" shape (Triangle @@ -726,7 +745,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,6625,23750,7375" +xt "30000,6625,30750,7375" ) tg (CPTG uid 58,0 @@ -737,10 +756,10 @@ uid 59,0 va (VaSet font "Verdana,12,0" ) -xt "15700,6300,22000,7700" +xt "23700,6300,30000,7700" st "motorOn" ju 2 -blo "22000,7500" +blo "30000,7500" tm "CptPortNameMgr" ) ) @@ -749,7 +768,7 @@ uid 60,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,60500,6400" +xt "44000,6400,60500,7200" st "motorOn : OUT std_uLogic ;" ) thePort (LogicalPort @@ -758,12 +777,12 @@ m 1 decl (Decl n "motorOn" t "std_uLogic" -o 5 +o 6 suid 2,0 ) ) ) -*68 (CptPort +*70 (CptPort uid 61,0 ps "OnEdgeStrategy" shape (Triangle @@ -784,9 +803,9 @@ uid 64,0 va (VaSet font "Verdana,12,0" ) -xt "16000,8300,20800,9700" +xt "15000,8300,19800,9700" st "Power" -blo "16000,9500" +blo "15000,9500" tm "CptPortNameMgr" ) ) @@ -809,7 +828,7 @@ suid 3,0 ) ) ) -*69 (CptPort +*71 (CptPort uid 66,0 ps "OnEdgeStrategy" shape (Triangle @@ -854,7 +873,7 @@ suid 4,0 ) ) ) -*70 (CptPort +*72 (CptPort uid 71,0 ps "OnEdgeStrategy" shape (Triangle @@ -864,7 +883,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,14625,23750,15375" +xt "30000,14625,30750,15375" ) tg (CPTG uid 73,0 @@ -875,10 +894,10 @@ uid 74,0 va (VaSet font "Verdana,12,0" ) -xt "17800,14300,22000,15700" +xt "25800,14300,30000,15700" st "side1" ju 2 -blo "22000,15500" +blo "30000,15500" tm "CptPortNameMgr" ) ) @@ -887,7 +906,7 @@ uid 75,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,60500,7200" +xt "44000,7200,60500,8000" st "side1 : OUT std_uLogic ;" ) thePort (LogicalPort @@ -896,12 +915,12 @@ m 1 decl (Decl n "side1" t "std_uLogic" -o 6 +o 7 suid 5,0 ) ) ) -*71 (CptPort +*73 (CptPort uid 76,0 ps "OnEdgeStrategy" shape (Triangle @@ -911,7 +930,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,12625,23750,13375" +xt "30000,12625,30750,13375" ) tg (CPTG uid 78,0 @@ -922,10 +941,10 @@ uid 79,0 va (VaSet font "Verdana,12,0" ) -xt "17800,12300,22000,13700" +xt "25800,12300,30000,13700" st "side2" ju 2 -blo "22000,13500" +blo "30000,13500" tm "CptPortNameMgr" ) ) @@ -934,7 +953,7 @@ uid 80,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,59500,8000" +xt "44000,8000,59500,8800" st "side2 : OUT std_uLogic " ) thePort (LogicalPort @@ -943,12 +962,12 @@ m 1 decl (Decl n "side2" t "std_uLogic" -o 7 +o 8 suid 6,0 ) ) ) -*72 (CptPort +*74 (CptPort uid 81,0 ps "OnEdgeStrategy" shape (Triangle @@ -969,9 +988,9 @@ uid 84,0 va (VaSet font "Verdana,12,0" ) -xt "16000,12300,20200,13700" +xt "15000,12300,19200,13700" st "SideL" -blo "16000,13500" +blo "15000,13500" tm "CptPortNameMgr" ) ) @@ -993,16 +1012,62 @@ suid 7,0 ) ) ) +*75 (CptPort +uid 1240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1241,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,17625,30750,18375" +) +tg (CPTG +uid 1242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1243,0 +va (VaSet +font "Verdana,12,0" +) +xt "22200,17300,29000,18700" +st "PWM_out" +ju 2 +blo "29000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1244,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "PWM_out : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +suid 8,0 +) +) +) ] shape (Rectangle -uid 9,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "15000,6000,23000,20000" +xt "15000,6000,30000,20000" ) biTextGroup (BiTextGroup uid 10,0 @@ -1013,21 +1078,21 @@ uid 11,0 va (VaSet font "Verdana,9,1" ) -xt "17150,11800,20850,13000" +xt "15150,19800,18850,21000" st "Cursor" -blo "17150,12800" +blo "15150,20800" ) second (Text uid 12,0 va (VaSet font "Verdana,9,1" ) -xt "17150,13000,20750,14200" +xt "15150,21000,18750,22200" st "Driver" -blo "17150,14000" +blo "15150,22000" ) ) -gi *73 (GenericInterface +gi *76 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -1056,10 +1121,10 @@ sTC 0 sF 0 ) ) -*74 (Grouping +*77 (Grouping uid 16,0 optionalChildren [ -*75 (CommentText +*78 (CommentText uid 18,0 shape (Rectangle uid 19,0 @@ -1078,7 +1143,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,46000,49000" +xt "36200,48000,48800,49000" st " by %user on %dd %month %year " @@ -1091,7 +1156,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*76 (CommentText +*79 (CommentText uid 21,0 shape (Rectangle uid 22,0 @@ -1123,7 +1188,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*77 (CommentText +*80 (CommentText uid 24,0 shape (Rectangle uid 25,0 @@ -1155,7 +1220,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*78 (CommentText +*81 (CommentText uid 27,0 shape (Rectangle uid 28,0 @@ -1187,7 +1252,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*79 (CommentText +*82 (CommentText uid 30,0 shape (Rectangle uid 31,0 @@ -1218,7 +1283,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*80 (CommentText +*83 (CommentText uid 33,0 shape (Rectangle uid 34,0 @@ -1250,7 +1315,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*81 (CommentText +*84 (CommentText uid 36,0 shape (Rectangle uid 37,0 @@ -1281,7 +1346,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*82 (CommentText +*85 (CommentText uid 39,0 shape (Rectangle uid 40,0 @@ -1313,7 +1378,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*83 (CommentText +*86 (CommentText uid 42,0 shape (Rectangle uid 43,0 @@ -1345,7 +1410,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*84 (CommentText +*87 (CommentText uid 45,0 shape (Rectangle uid 46,0 @@ -1402,11 +1467,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *85 (PackageList +packageList *88 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ -*86 (Text +*89 (Text uid 49,0 va (VaSet font "Verdana,9,1" @@ -1415,7 +1480,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*87 (MLText +*90 (MLText uid 50,0 va (VaSet ) @@ -1541,7 +1606,7 @@ st "" blo "26800,17000" ) ) -gi *88 (GenericInterface +gi *91 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1642,7 +1707,7 @@ o 0 ) ) ) -DeclarativeBlock *89 (SymDeclBlock +DeclarativeBlock *92 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1668,9 +1733,9 @@ uid 4,0 va (VaSet font "Verdana,9,1" ) -xt "42000,8000,45200,9200" +xt "42000,8800,45200,10000" st "User:" -blo "42000,9000" +blo "42000,9800" ) internalLabel (Text uid 6,0 @@ -1687,7 +1752,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,9200,44000,9200" +xt "44000,10000,44000,10000" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1700,6 +1765,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 685,0 +lastUid 1290,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@encoder/encoder.sm b/Cursor/hds/@encoder/encoder.sm index 8e644eb..2e11506 100644 --- a/Cursor/hds/@encoder/encoder.sm +++ b/Cursor/hds/@encoder/encoder.sm @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] machine (Machine name "csm" @@ -43,23 +39,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -79,15 +75,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder" ) (vvPair variable "date" -value "14.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -99,7 +95,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "18" ) (vvPair variable "entity_name" @@ -123,11 +119,11 @@ value "encoder" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -135,11 +131,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "13:09:40" +value "15:19:09" ) (vvPair variable "group" @@ -147,7 +143,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -163,7 +159,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -171,19 +167,19 @@ value "Encoder" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\encoder.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder\\encoder.sm" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder\\encoder.sm" ) (vvPair variable "package_name" @@ -211,7 +207,7 @@ value "encoder" ) (vvPair variable "time" -value "13:09:40" +value "15:19:09" ) (vvPair variable "unit" @@ -219,7 +215,7 @@ value "Encoder" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -231,11 +227,11 @@ value "encoder" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -304,28 +300,25 @@ shape (Rectangle uid 52,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "38412,8524,46212,11124" +xt "38412,7124,46212,12524" ) autoResize 1 tline (Line uid 53,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "38512,8424,46112,8424" +xt "38512,9824,46112,9824" pts [ -"38512,8424" -"46112,8424" +"38512,9824" +"46112,9824" ] ) bline (Line @@ -334,12 +327,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "38512,8724,46112,8724" +xt "38512,9424,46112,9424" pts [ -"38512,8724" -"46112,8724" +"38512,9424" +"46112,9424" ] ) ttri (Triangle @@ -347,13 +340,12 @@ uid 55,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "38062,8049,38412,8399" +xt "38062,8249,38412,8599" ) btri (Triangle uid 56,0 @@ -372,14 +364,16 @@ entryActions (MLText uid 57,0 va (VaSet ) -xt "38512,8224,38512,8224" +xt "38512,7224,46112,9624" +st "down <= '0'; +up <= '0';" tm "Actions" ) inActions (MLText uid 58,0 va (VaSet ) -xt "38512,8624,46112,11024" +xt "38512,10024,46112,12424" st "down <= '0'; up <= '0';" tm "Actions" @@ -515,10 +509,10 @@ vasetType 3 ) xt "4150,19700,4749,20300" pts [ -"4749,20300" -"4449,20300" +"4749,19700" "4449,19700" -"4150,19700" +"4449,20300" +"4150,20300" ] ) (Line @@ -576,7 +570,7 @@ va (VaSet isHidden 1 ) xt "2400,17800,9000,19000" -st "reset = '0'" +st "reset = '1'" tm "SmControlConditionMgr" ) ) @@ -634,6 +628,7 @@ st "< Automatic >" tm "Actions" ) ) +level 1 ) *5 (Link uid 87,0 @@ -789,7 +784,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,45400,47000" +xt "36200,46000,46200,47000" st " by %user on %dd %month %year " @@ -1158,28 +1153,25 @@ shape (Rectangle uid 188,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "28184,19240,35984,21840" +xt "28184,17840,35984,23240" ) autoResize 1 tline (Line uid 189,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "28284,19140,35884,19140" +xt "28284,20540,35884,20540" pts [ -"28284,19140" -"35884,19140" +"28284,20540" +"35884,20540" ] ) bline (Line @@ -1188,12 +1180,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "28284,19440,35884,19440" +xt "28284,20140,35884,20140" pts [ -"28284,19440" -"35884,19440" +"28284,20140" +"35884,20140" ] ) ttri (Triangle @@ -1201,13 +1193,12 @@ uid 191,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "27834,18765,28184,19115" +xt "27834,18965,28184,19315" ) btri (Triangle uid 192,0 @@ -1226,14 +1217,16 @@ entryActions (MLText uid 193,0 va (VaSet ) -xt "28284,18940,28284,18940" +xt "28284,17940,35884,20340" +st "down <= '0'; +up <= '0';" tm "Actions" ) inActions (MLText uid 194,0 va (VaSet ) -xt "28284,19340,35884,21740" +xt "28284,20740,35884,23140" st "down <= '0'; up <= '0';" tm "Actions" @@ -1318,28 +1311,25 @@ shape (Rectangle uid 205,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "38480,30112,46280,32712" +xt "38480,28712,46280,34112" ) autoResize 1 tline (Line uid 206,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "38580,30012,46180,30012" +xt "38580,31412,46180,31412" pts [ -"38580,30012" -"46180,30012" +"38580,31412" +"46180,31412" ] ) bline (Line @@ -1348,12 +1338,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "38580,30312,46180,30312" +xt "38580,31012,46180,31012" pts [ -"38580,30312" -"46180,30312" +"38580,31012" +"46180,31012" ] ) ttri (Triangle @@ -1361,13 +1351,12 @@ uid 208,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "38130,29637,38480,29987" +xt "38130,29837,38480,30187" ) btri (Triangle uid 209,0 @@ -1386,14 +1375,16 @@ entryActions (MLText uid 210,0 va (VaSet ) -xt "38580,29812,38580,29812" +xt "38580,28812,46180,31212" +st "down <= '0'; +up <= '0';" tm "Actions" ) inActions (MLText uid 211,0 va (VaSet ) -xt "38580,30212,46180,32612" +xt "38580,31612,46180,34012" st "down <= '0'; up <= '0';" tm "Actions" @@ -1478,28 +1469,25 @@ shape (Rectangle uid 222,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "48776,19672,56576,22272" +xt "48776,18272,56576,23672" ) autoResize 1 tline (Line uid 223,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "48876,19572,56476,19572" +xt "48876,20972,56476,20972" pts [ -"48876,19572" -"56476,19572" +"48876,20972" +"56476,20972" ] ) bline (Line @@ -1508,12 +1496,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "48876,19872,56476,19872" +xt "48876,20572,56476,20572" pts [ -"48876,19872" -"56476,19872" +"48876,20572" +"56476,20572" ] ) ttri (Triangle @@ -1521,13 +1509,12 @@ uid 225,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "48426,19197,48776,19547" +xt "48426,19397,48776,19747" ) btri (Triangle uid 226,0 @@ -1546,14 +1533,16 @@ entryActions (MLText uid 227,0 va (VaSet ) -xt "48876,19372,48876,19372" +xt "48876,18372,56476,20772" +st "down <= '0'; +up <= '0';" tm "Actions" ) inActions (MLText uid 228,0 va (VaSet ) -xt "48876,19772,56476,22172" +xt "48876,21172,56476,23572" st "down <= '0'; up <= '0';" tm "Actions" @@ -1598,7 +1587,7 @@ start &4 end &5 ss 0 es 0 -cond "reset = '0'" +cond "reset = '1'" tb (TransitionBlock uid 106,0 ps "CenterOffsetStrategy" @@ -1629,7 +1618,7 @@ uid 109,0 va (VaSet ) xt "8650,18900,15250,20100" -st "reset = '0'" +st "reset = '1'" tm "Condition" ) actions (MLText @@ -1811,8 +1800,8 @@ actions (MLText uid 236,0 va (VaSet ) -xt "48762,4921,55062,6121" -st "up <= '1';" +xt "48112,4921,55712,6121" +st "down <= '1';" tm "Actions" ) ) @@ -1896,8 +1885,8 @@ actions (MLText uid 246,0 va (VaSet ) -xt "55843,25806,62143,27006" -st "up <= '1';" +xt "55193,25806,62793,27006" +st "down <= '1';" tm "Actions" ) ) @@ -1981,8 +1970,8 @@ actions (MLText uid 256,0 va (VaSet ) -xt "21845,28363,28145,29563" -st "up <= '1';" +xt "21195,28363,28795,29563" +st "down <= '1';" tm "Actions" ) ) @@ -2066,8 +2055,8 @@ actions (MLText uid 266,0 va (VaSet ) -xt "25043,5792,31343,6992" -st "up <= '1';" +xt "24393,5792,31993,6992" +st "down <= '1';" tm "Actions" ) ) @@ -2125,7 +2114,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "18696,9513,28396,13313" +xt "18696,9313,28396,13513" ) autoResize 1 lineShape (Line @@ -2151,8 +2140,8 @@ actions (MLText uid 286,0 va (VaSet ) -xt "19746,11813,27346,13013" -st "down <= '1';" +xt "20396,11813,26696,13013" +st "up <= '1';" tm "Actions" ) ) @@ -2236,8 +2225,8 @@ actions (MLText uid 296,0 va (VaSet ) -xt "25089,34766,32689,35966" -st "down <= '1';" +xt "25739,34766,32039,35966" +st "up <= '1';" tm "Actions" ) ) @@ -2295,7 +2284,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "49149,29354,58849,33154" +xt "49149,29154,58849,33354" ) autoResize 1 lineShape (Line @@ -2321,8 +2310,8 @@ actions (MLText uid 306,0 va (VaSet ) -xt "50199,31654,57799,32854" -st "down <= '1';" +xt "50849,31654,57149,32854" +st "up <= '1';" tm "Actions" ) ) @@ -2380,7 +2369,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "53685,11593,63485,15393" +xt "53685,11393,63485,15593" ) autoResize 1 lineShape (Line @@ -2406,8 +2395,8 @@ actions (MLText uid 316,0 va (VaSet ) -xt "54785,13893,62385,15093" -st "down <= '1';" +xt "55435,13893,61735,15093" +st "up <= '1';" tm "Actions" ) ) @@ -2466,13 +2455,12 @@ blo "0,3064" uid 33,0 va (VaSet ) -xt "0,3264,19000,10464" +xt "0,3264,17500,9264" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "SmPackageListTextMgr" ) ] @@ -2550,8 +2538,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "5,0,1542,926" -viewArea "-17300,-8300,78257,49954" +windowSize "5,0,1546,926" +viewArea "-17300,-8300,78549,49954" cachedDiagramExtent "-506,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-3000,-2000" @@ -2927,7 +2915,7 @@ stateOrder [ name "csm" ) ] -lastUid 388,0 +lastUid 504,0 commonDM (CommonDM ldm (LogicalDM emptyRow *64 (LEmptyRow @@ -3009,7 +2997,7 @@ uid 158,0 port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 1 ) ) @@ -3033,13 +3021,13 @@ scheme 0 port (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 ) ) uid 164,0 -cat 8 -expr "reset = '0'" +cat 9 +expr "reset = '1'" ) *88 (LeafLogPort port (LogicalPort diff --git a/Cursor/hds/@encoder/interface b/Cursor/hds/@encoder/interface index b4937cc..cb3ffbd 100644 --- a/Cursor/hds/@encoder/interface +++ b/Cursor/hds/@encoder/interface @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -30,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 12,0 +suid 24,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,12 +69,12 @@ tm "EolColHdrMgr" port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 1 -suid 7,0 +suid 19,0 ) ) -uid 364,0 +uid 586,0 ) *15 (LogPort port (LogicalPort @@ -87,10 +83,10 @@ decl (Decl n "down" t "std_uLogic" o 5 -suid 8,0 +suid 20,0 ) ) -uid 366,0 +uid 588,0 ) *16 (LogPort port (LogicalPort @@ -98,10 +94,10 @@ decl (Decl n "encoderA" t "std_uLogic" o 2 -suid 9,0 +suid 21,0 ) ) -uid 368,0 +uid 590,0 ) *17 (LogPort port (LogicalPort @@ -109,21 +105,21 @@ decl (Decl n "encoderB" t "std_uLogic" o 3 -suid 10,0 +suid 22,0 ) ) -uid 370,0 +uid 592,0 ) *18 (LogPort port (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 11,0 +suid 23,0 ) ) -uid 372,0 +uid 594,0 ) *19 (LogPort port (LogicalPort @@ -132,10 +128,10 @@ decl (Decl n "up" t "std_uLogic" o 6 -suid 12,0 +suid 24,0 ) ) -uid 374,0 +uid 596,0 ) ] ) @@ -191,37 +187,37 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 365,0 +uid 587,0 ) *26 (MRCItem litem &15 pos 1 dimension 20 -uid 367,0 +uid 589,0 ) *27 (MRCItem litem &16 pos 2 dimension 20 -uid 369,0 +uid 591,0 ) *28 (MRCItem litem &17 pos 3 dimension 20 -uid 371,0 +uid 593,0 ) *29 (MRCItem litem &18 pos 4 dimension 20 -uid 373,0 +uid 595,0 ) *30 (MRCItem litem &19 pos 5 dimension 20 -uid 375,0 +uid 597,0 ) ] ) @@ -452,23 +448,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -488,15 +484,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder" ) (vvPair variable "date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -508,7 +504,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "18" ) (vvPair variable "entity_name" @@ -532,11 +528,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -544,11 +540,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "14:54:36" +value "15:19:09" ) (vvPair variable "group" @@ -556,7 +552,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -572,7 +568,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -580,19 +576,19 @@ value "Encoder" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@encoder\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\Encoder\\interface" ) (vvPair variable "package_name" @@ -620,7 +616,7 @@ value "interface" ) (vvPair variable "time" -value "14:54:36" +value "15:19:09" ) (vvPair variable "unit" @@ -628,7 +624,7 @@ value "Encoder" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -640,11 +636,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -655,10 +651,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *64 (CptPort -uid 334,0 +uid 556,0 ps "OnEdgeStrategy" shape (Triangle -uid 335,0 +uid 557,0 ro 90 va (VaSet vasetType 1 @@ -667,11 +663,11 @@ fg "0,65535,0" xt "14250,16625,15000,17375" ) tg (CPTG -uid 336,0 +uid 558,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 337,0 +uid 559,0 va (VaSet font "Verdana,12,0" ) @@ -682,27 +678,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 338,0 +uid 560,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "clock : IN std_ulogic ;" +st "clock : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 1 -suid 7,0 +suid 19,0 ) ) ) *65 (CptPort -uid 339,0 +uid 561,0 ps "OnEdgeStrategy" shape (Triangle -uid 340,0 +uid 562,0 ro 90 va (VaSet vasetType 1 @@ -711,11 +708,11 @@ fg "0,65535,0" xt "23000,12625,23750,13375" ) tg (CPTG -uid 341,0 +uid 563,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 342,0 +uid 564,0 va (VaSet font "Verdana,12,0" ) @@ -727,12 +724,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 343,0 +uid 565,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "down : OUT std_uLogic ;" +st "down : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -740,15 +738,15 @@ decl (Decl n "down" t "std_uLogic" o 5 -suid 8,0 +suid 20,0 ) ) ) *66 (CptPort -uid 344,0 +uid 566,0 ps "OnEdgeStrategy" shape (Triangle -uid 345,0 +uid 567,0 ro 90 va (VaSet vasetType 1 @@ -757,11 +755,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 346,0 +uid 568,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 347,0 +uid 569,0 va (VaSet font "Verdana,12,0" ) @@ -772,27 +770,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 348,0 +uid 570,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderA" t "std_uLogic" o 2 -suid 9,0 +suid 21,0 ) ) ) *67 (CptPort -uid 349,0 +uid 571,0 ps "OnEdgeStrategy" shape (Triangle -uid 350,0 +uid 572,0 ro 90 va (VaSet vasetType 1 @@ -801,11 +800,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 351,0 +uid 573,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 352,0 +uid 574,0 va (VaSet font "Verdana,12,0" ) @@ -816,27 +815,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 353,0 +uid 575,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderB" t "std_uLogic" o 3 -suid 10,0 +suid 22,0 ) ) ) *68 (CptPort -uid 354,0 +uid 576,0 ps "OnEdgeStrategy" shape (Triangle -uid 355,0 +uid 577,0 ro 90 va (VaSet vasetType 1 @@ -845,11 +845,11 @@ fg "0,65535,0" xt "14250,18625,15000,19375" ) tg (CPTG -uid 356,0 +uid 578,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 357,0 +uid 579,0 va (VaSet font "Verdana,12,0" ) @@ -860,27 +860,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 358,0 +uid 580,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 11,0 +suid 23,0 ) ) ) *69 (CptPort -uid 359,0 +uid 581,0 ps "OnEdgeStrategy" shape (Triangle -uid 360,0 +uid 582,0 ro 90 va (VaSet vasetType 1 @@ -889,11 +890,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 361,0 +uid 583,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 362,0 +uid 584,0 va (VaSet font "Verdana,12,0" ) @@ -905,12 +906,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 363,0 +uid 585,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,60000,7200" -st "up : OUT std_uLogic " +st "up : OUT std_uLogic +" ) thePort (LogicalPort m 1 @@ -918,7 +920,7 @@ decl (Decl n "up" t "std_uLogic" o 6 -suid 12,0 +suid 24,0 ) ) ) @@ -1007,7 +1009,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,49600,49000" st " by %user on %dd %month %year " @@ -1348,13 +1350,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -1632,7 +1633,7 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 444,0 +lastUid 620,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" diff --git a/Cursor/hds/@main/fsm.sm b/Cursor/hds/@main/fsm.sm new file mode 100644 index 0000000..314d176 --- /dev/null +++ b/Cursor/hds/@main/fsm.sm @@ -0,0 +1,15064 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" +) +(vvPair +variable "date" +value "11.01.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "Main" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "11.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:53:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "Main" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "14:53:14" +) +(vvPair +variable "unit" +value "Main" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +uid 1839,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69463,14613,76565,21715" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "70464,17464,75564,18864" +st "choice" +ju 0 +blo "73014,18664" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "72764,18364,77864,19764" +st "wait 2" +blo "72764,19564" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "73014,19264,73014,19264" +blo "73014,19264" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "71119,18697,80019,21297" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71219,18597,79919,18597" +pts [ +"71219,18597" +"79919,18597" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71219,18897,79919,18897" +pts [ +"71219,18897" +"79919,18897" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "70769,18222,71119,18572" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "70769,16422,71119,16772" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "71219,18397,71219,18397" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "71219,18797,79919,21197" +st "RaZ <= '0'; +unlock <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "71569,16597,71569,16597" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "70914,19364,77614,20564" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5592,10300,20492,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5692,10400,20392,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22550,20700" +st "stop" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22550,15700" +st "stop" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,42800,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 221,0 +shape (Circle +uid 222,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "68018,34992,78204,45178" +radius 5093 +) +name (Text +uid 223,0 +va (VaSet +font "Verdana,12,1" +) +xt "68661,39385,77561,40785" +st "Position_2" +ju 0 +blo "73111,40585" +tm "ONodeName" +) +wait (TextAssociate +uid 224,0 +ps "CenterOffsetStrategy" +text (Text +uid 225,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "72861,40285,77961,41685" +st "wait 2" +blo "72861,41485" +tm "SmWaitText" +) +) +encoding (Text +uid 226,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "73111,41185,73111,41185" +blo "73111,41185" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 229,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 230,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "69711,42185,84511,44785" +) +autoResize 1 +tline (Line +uid 231,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "69811,42085,84411,42085" +pts [ +"69811,42085" +"84411,42085" +] +) +bline (Line +uid 232,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "69811,42385,84411,42385" +pts [ +"69811,42385" +"84411,42385" +] +) +ttri (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "69361,41710,69711,42060" +) +btri (Triangle +uid 234,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "69361,39910,69711,40260" +) +entryActions (MLText +uid 235,0 +va (VaSet +) +xt "69811,41885,69811,41885" +tm "Actions" +) +inActions (MLText +uid 236,0 +va (VaSet +) +xt "69811,42285,84411,44685" +st "CurrentPos <= Position ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 237,0 +va (VaSet +) +xt "73111,40085,73111,40085" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 227,0 +ps "CenterOffsetStrategy" +text (MLText +uid 228,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "71011,41285,77711,42485" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 238,0 +shape (Circle +uid 239,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "32055,35845,40983,44773" +radius 4464 +) +name (Text +uid 240,0 +va (VaSet +font "Verdana,12,1" +) +xt "32719,39609,40319,41009" +st "Postion_1" +ju 0 +blo "36519,40809" +tm "ONodeName" +) +wait (TextAssociate +uid 241,0 +ps "CenterOffsetStrategy" +text (Text +uid 242,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "36269,40509,41369,41909" +st "wait 2" +blo "36269,41709" +tm "SmWaitText" +) +) +encoding (Text +uid 243,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36519,41409,36519,41409" +blo "36519,41409" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 246,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 247,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33119,42409,47919,45009" +) +autoResize 1 +tline (Line +uid 248,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33219,42309,47819,42309" +pts [ +"33219,42309" +"47819,42309" +] +) +bline (Line +uid 249,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33219,42609,47819,42609" +pts [ +"33219,42609" +"47819,42609" +] +) +ttri (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32769,41934,33119,42284" +) +btri (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32769,40134,33119,40484" +) +entryActions (MLText +uid 252,0 +va (VaSet +) +xt "33219,42109,33219,42109" +tm "Actions" +) +inActions (MLText +uid 253,0 +va (VaSet +) +xt "33219,42509,47819,44909" +st "CurrentPos <= Position ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 254,0 +va (VaSet +) +xt "36519,40309,36519,40309" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 244,0 +ps "CenterOffsetStrategy" +text (MLText +uid 245,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34419,41509,41119,42709" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 255,0 +shape (Circle +uid 256,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "114431,30274,125787,41630" +radius 5678 +) +name (Text +uid 257,0 +va (VaSet +font "Verdana,12,1" +) +xt "115059,35252,125159,36652" +st "Remise_a_0" +ju 0 +blo "120109,36452" +tm "ONodeName" +) +wait (TextAssociate +uid 258,0 +ps "CenterOffsetStrategy" +text (Text +uid 259,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "119859,36152,124959,37552" +st "wait 2" +blo "119859,37352" +tm "SmWaitText" +) +) +encoding (Text +uid 260,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "120109,37052,120109,37052" +blo "120109,37052" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 264,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "114680,37429,128980,41229" +) +autoResize 1 +tline (Line +uid 265,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114780,37329,128880,37329" +pts [ +"114780,37329" +"128880,37329" +] +) +bline (Line +uid 266,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114780,38529,128880,38529" +pts [ +"114780,38529" +"128880,38529" +] +) +ttri (Triangle +uid 267,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114330,36954,114680,37304" +) +btri (Triangle +uid 268,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114330,35754,114680,36104" +) +entryActions (MLText +uid 269,0 +va (VaSet +) +xt "114780,37129,114780,37129" +tm "Actions" +) +inActions (MLText +uid 270,0 +va (VaSet +) +xt "114780,37529,128880,41129" +st "Power <= \"11111111\"; +SideL <= '1' ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 271,0 +va (VaSet +) +xt "117830,35929,117830,35929" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 261,0 +ps "CenterOffsetStrategy" +text (MLText +uid 262,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "118009,37152,124709,38352" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 329,0 +shape (Circle +uid 330,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "117065,48021,124167,55123" +radius 3551 +) +name (Text +uid 331,0 +va (VaSet +font "Verdana,12,1" +) +xt "118666,50872,122566,52272" +st "Zero" +ju 0 +blo "120616,52072" +tm "ONodeName" +) +wait (TextAssociate +uid 332,0 +ps "CenterOffsetStrategy" +text (Text +uid 333,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "120366,51772,125466,53172" +st "wait 2" +blo "120366,52972" +tm "SmWaitText" +) +) +encoding (Text +uid 334,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "120616,52672,120616,52672" +blo "120616,52672" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 337,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 338,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "117316,53672,131916,56272" +) +autoResize 1 +tline (Line +uid 339,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "117416,53572,131816,53572" +pts [ +"117416,53572" +"131816,53572" +] +) +bline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "117416,53872,131816,53872" +pts [ +"117416,53872" +"131816,53872" +] +) +ttri (Triangle +uid 341,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116966,53197,117316,53547" +) +btri (Triangle +uid 342,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116966,51397,117316,51747" +) +entryActions (MLText +uid 343,0 +va (VaSet +) +xt "117416,53372,117416,53372" +tm "Actions" +) +inActions (MLText +uid 344,0 +va (VaSet +) +xt "117416,53772,131816,56172" +st "RaZ <= '1' ; +Power <= \"00000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 345,0 +va (VaSet +) +xt "120616,51572,120616,51572" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 335,0 +ps "CenterOffsetStrategy" +text (MLText +uid 336,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "118516,52772,125216,53972" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 400,0 +shape (Circle +uid 401,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "35279,61330,42381,68432" +radius 3551 +) +name (Text +uid 402,0 +va (VaSet +font "Verdana,12,1" +) +xt "36580,64181,41080,65581" +st "GoR1" +ju 0 +blo "38830,65381" +tm "ONodeName" +) +wait (TextAssociate +uid 403,0 +ps "CenterOffsetStrategy" +text (Text +uid 404,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "38580,65081,43680,66481" +st "wait 2" +blo "38580,66281" +tm "SmWaitText" +) +) +encoding (Text +uid 405,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "38830,65981,38830,65981" +blo "38830,65981" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 408,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 409,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "38780,67581,46880,68981" +) +autoResize 1 +tline (Line +uid 410,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38880,67481,46780,67481" +pts [ +"38880,67481" +"46780,67481" +] +) +bline (Line +uid 411,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38880,66881,46780,66881" +pts [ +"38880,66881" +"46780,66881" +] +) +ttri (Triangle +uid 412,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38430,67106,38780,67456" +) +btri (Triangle +uid 413,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38430,64706,38780,65056" +) +entryActions (MLText +uid 414,0 +va (VaSet +) +xt "38880,67281,38880,67281" +tm "Actions" +) +inActions (MLText +uid 415,0 +va (VaSet +) +xt "38880,67681,46780,68881" +st "SideL <= '0';" +tm "Actions" +) +exitActions (MLText +uid 416,0 +va (VaSet +) +xt "38830,64881,38830,64881" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 406,0 +ps "CenterOffsetStrategy" +text (MLText +uid 407,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36730,66081,43430,67281" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 417,0 +shape (Circle +uid 418,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "72963,61897,80065,68999" +radius 3551 +) +name (Text +uid 419,0 +va (VaSet +font "Verdana,12,1" +) +xt "74314,64748,78714,66148" +st "GoL1" +ju 0 +blo "76514,65948" +tm "ONodeName" +) +wait (TextAssociate +uid 420,0 +ps "CenterOffsetStrategy" +text (Text +uid 421,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "76264,65648,81364,67048" +st "wait 2" +blo "76264,66848" +tm "SmWaitText" +) +) +encoding (Text +uid 422,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "76514,66548,76514,66548" +blo "76514,66548" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 425,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 426,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "76314,68148,84714,69548" +) +autoResize 1 +tline (Line +uid 427,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76414,68048,84614,68048" +pts [ +"76414,68048" +"84614,68048" +] +) +bline (Line +uid 428,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "76414,67448,84614,67448" +pts [ +"76414,67448" +"84614,67448" +] +) +ttri (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75964,67673,76314,68023" +) +btri (Triangle +uid 430,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75964,65273,76314,65623" +) +entryActions (MLText +uid 431,0 +va (VaSet +) +xt "76414,67848,76414,67848" +tm "Actions" +) +inActions (MLText +uid 432,0 +va (VaSet +) +xt "76414,68248,84614,69448" +st "SideL <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 433,0 +va (VaSet +) +xt "76514,65448,76514,65448" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 423,0 +ps "CenterOffsetStrategy" +text (MLText +uid 424,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "74414,66648,81114,67848" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 950,0 +shape (Circle +uid 951,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "30840,75351,42392,86903" +radius 5776 +) +name (Text +uid 952,0 +va (VaSet +font "Verdana,12,1" +) +xt "33566,80427,39666,81827" +st "accel_1" +ju 0 +blo "36616,81627" +tm "ONodeName" +) +wait (TextAssociate +uid 953,0 +ps "CenterOffsetStrategy" +text (Text +uid 954,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "36366,81327,41466,82727" +st "wait 2" +blo "36366,82527" +tm "SmWaitText" +) +) +encoding (Text +uid 955,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36616,82227,36616,82227" +blo "36616,82227" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 958,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 959,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33316,83827,47916,85227" +) +autoResize 1 +tline (Line +uid 960,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33416,83727,47816,83727" +pts [ +"33416,83727" +"47816,83727" +] +) +bline (Line +uid 961,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33416,83127,47816,83127" +pts [ +"33416,83127" +"47816,83127" +] +) +ttri (Triangle +uid 962,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32966,83352,33316,83702" +) +btri (Triangle +uid 963,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32966,80952,33316,81302" +) +entryActions (MLText +uid 964,0 +va (VaSet +) +xt "33416,83527,33416,83527" +tm "Actions" +) +inActions (MLText +uid 965,0 +va (VaSet +) +xt "33416,83927,47816,85127" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 966,0 +va (VaSet +) +xt "36616,81127,36616,81127" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 956,0 +ps "CenterOffsetStrategy" +text (MLText +uid 957,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34516,82327,41216,83527" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 967,0 +shape (Circle +uid 968,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50099,83675,61651,95227" +radius 5776 +) +name (Text +uid 969,0 +va (VaSet +font "Verdana,12,1" +) +xt "52825,88751,58925,90151" +st "accel_2" +ju 0 +blo "55875,89951" +tm "ONodeName" +) +wait (TextAssociate +uid 970,0 +ps "CenterOffsetStrategy" +text (Text +uid 971,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55625,89651,60725,91051" +st "wait 2" +blo "55625,90851" +tm "SmWaitText" +) +) +encoding (Text +uid 972,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55875,90551,55875,90551" +blo "55875,90551" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 975,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 976,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52575,92151,67175,93551" +) +autoResize 1 +tline (Line +uid 977,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52675,92051,67075,92051" +pts [ +"52675,92051" +"67075,92051" +] +) +bline (Line +uid 978,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52675,91451,67075,91451" +pts [ +"52675,91451" +"67075,91451" +] +) +ttri (Triangle +uid 979,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52225,91676,52575,92026" +) +btri (Triangle +uid 980,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52225,89276,52575,89626" +) +entryActions (MLText +uid 981,0 +va (VaSet +) +xt "52675,91851,52675,91851" +tm "Actions" +) +inActions (MLText +uid 982,0 +va (VaSet +) +xt "52675,92251,67075,93451" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 983,0 +va (VaSet +) +xt "55875,89451,55875,89451" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 973,0 +ps "CenterOffsetStrategy" +text (MLText +uid 974,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53775,90651,60475,91851" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 984,0 +shape (Circle +uid 985,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "29538,94968,41090,106520" +radius 5776 +) +name (Text +uid 986,0 +va (VaSet +font "Verdana,12,1" +) +xt "32264,100044,38364,101444" +st "accel_3" +ju 0 +blo "35314,101244" +tm "ONodeName" +) +wait (TextAssociate +uid 987,0 +ps "CenterOffsetStrategy" +text (Text +uid 988,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "35064,100944,40164,102344" +st "wait 2" +blo "35064,102144" +tm "SmWaitText" +) +) +encoding (Text +uid 989,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35314,101844,35314,101844" +blo "35314,101844" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 992,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 993,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "32014,103444,46614,104844" +) +autoResize 1 +tline (Line +uid 994,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32114,103344,46514,103344" +pts [ +"32114,103344" +"46514,103344" +] +) +bline (Line +uid 995,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32114,102744,46514,102744" +pts [ +"32114,102744" +"46514,102744" +] +) +ttri (Triangle +uid 996,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31664,102969,32014,103319" +) +btri (Triangle +uid 997,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31664,100569,32014,100919" +) +entryActions (MLText +uid 998,0 +va (VaSet +) +xt "32114,103144,32114,103144" +tm "Actions" +) +inActions (MLText +uid 999,0 +va (VaSet +) +xt "32114,103544,46514,104744" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1000,0 +va (VaSet +) +xt "35314,100744,35314,100744" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 990,0 +ps "CenterOffsetStrategy" +text (MLText +uid 991,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "33214,101944,39914,103144" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (State +uid 1001,0 +shape (Circle +uid 1002,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50187,104138,61739,115690" +radius 5776 +) +name (Text +uid 1003,0 +va (VaSet +font "Verdana,12,1" +) +xt "52913,109214,59013,110614" +st "accel_4" +ju 0 +blo "55963,110414" +tm "ONodeName" +) +wait (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (Text +uid 1005,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55713,110114,60813,111514" +st "wait 2" +blo "55713,111314" +tm "SmWaitText" +) +) +encoding (Text +uid 1006,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55963,111014,55963,111014" +blo "55963,111014" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1009,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1010,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52663,112614,67263,114014" +) +autoResize 1 +tline (Line +uid 1011,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52763,112514,67163,112514" +pts [ +"52763,112514" +"67163,112514" +] +) +bline (Line +uid 1012,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52763,111914,67163,111914" +pts [ +"52763,111914" +"67163,111914" +] +) +ttri (Triangle +uid 1013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52313,112139,52663,112489" +) +btri (Triangle +uid 1014,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52313,109739,52663,110089" +) +entryActions (MLText +uid 1015,0 +va (VaSet +) +xt "52763,112314,52763,112314" +tm "Actions" +) +inActions (MLText +uid 1016,0 +va (VaSet +) +xt "52763,112714,67163,113914" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1017,0 +va (VaSet +) +xt "55963,109914,55963,109914" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1007,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1008,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53863,111114,60563,112314" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (State +uid 1018,0 +shape (Circle +uid 1019,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28361,114381,39913,125933" +radius 5776 +) +name (Text +uid 1020,0 +va (VaSet +font "Verdana,12,1" +) +xt "31087,119457,37187,120857" +st "accel_5" +ju 0 +blo "34137,120657" +tm "ONodeName" +) +wait (TextAssociate +uid 1021,0 +ps "CenterOffsetStrategy" +text (Text +uid 1022,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33887,120357,38987,121757" +st "wait 2" +blo "33887,121557" +tm "SmWaitText" +) +) +encoding (Text +uid 1023,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34137,121257,34137,121257" +blo "34137,121257" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1026,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1027,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "30837,122857,45437,124257" +) +autoResize 1 +tline (Line +uid 1028,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30937,122757,45337,122757" +pts [ +"30937,122757" +"45337,122757" +] +) +bline (Line +uid 1029,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30937,122157,45337,122157" +pts [ +"30937,122157" +"45337,122157" +] +) +ttri (Triangle +uid 1030,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30487,122382,30837,122732" +) +btri (Triangle +uid 1031,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30487,119982,30837,120332" +) +entryActions (MLText +uid 1032,0 +va (VaSet +) +xt "30937,122557,30937,122557" +tm "Actions" +) +inActions (MLText +uid 1033,0 +va (VaSet +) +xt "30937,122957,45337,124157" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1034,0 +va (VaSet +) +xt "34137,120157,34137,120157" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1024,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1025,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32037,121357,38737,122557" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 1035,0 +shape (Circle +uid 1036,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "49262,123814,60814,135366" +radius 5776 +) +name (Text +uid 1037,0 +va (VaSet +font "Verdana,12,1" +) +xt "51988,128890,58088,130290" +st "accel_6" +ju 0 +blo "55038,130090" +tm "ONodeName" +) +wait (TextAssociate +uid 1038,0 +ps "CenterOffsetStrategy" +text (Text +uid 1039,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "54788,129790,59888,131190" +st "wait 2" +blo "54788,130990" +tm "SmWaitText" +) +) +encoding (Text +uid 1040,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55038,130690,55038,130690" +blo "55038,130690" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1043,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1044,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51738,132290,66338,133690" +) +autoResize 1 +tline (Line +uid 1045,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51838,132190,66238,132190" +pts [ +"51838,132190" +"66238,132190" +] +) +bline (Line +uid 1046,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51838,131590,66238,131590" +pts [ +"51838,131590" +"66238,131590" +] +) +ttri (Triangle +uid 1047,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51388,131815,51738,132165" +) +btri (Triangle +uid 1048,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51388,129415,51738,129765" +) +entryActions (MLText +uid 1049,0 +va (VaSet +) +xt "51838,131990,51838,131990" +tm "Actions" +) +inActions (MLText +uid 1050,0 +va (VaSet +) +xt "51838,132390,66238,133590" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1051,0 +va (VaSet +) +xt "55038,129590,55038,129590" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1041,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1042,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "52938,130790,59638,131990" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 1052,0 +shape (Circle +uid 1053,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "27596,134859,39148,146411" +radius 5776 +) +name (Text +uid 1054,0 +va (VaSet +font "Verdana,12,1" +) +xt "30322,139935,36422,141335" +st "accel_7" +ju 0 +blo "33372,141135" +tm "ONodeName" +) +wait (TextAssociate +uid 1055,0 +ps "CenterOffsetStrategy" +text (Text +uid 1056,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33122,140835,38222,142235" +st "wait 2" +blo "33122,142035" +tm "SmWaitText" +) +) +encoding (Text +uid 1057,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "33372,141735,33372,141735" +blo "33372,141735" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1060,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1061,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "30072,143335,44672,144735" +) +autoResize 1 +tline (Line +uid 1062,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30172,143235,44572,143235" +pts [ +"30172,143235" +"44572,143235" +] +) +bline (Line +uid 1063,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30172,142635,44572,142635" +pts [ +"30172,142635" +"44572,142635" +] +) +ttri (Triangle +uid 1064,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29722,142860,30072,143210" +) +btri (Triangle +uid 1065,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29722,140460,30072,140810" +) +entryActions (MLText +uid 1066,0 +va (VaSet +) +xt "30172,143035,30172,143035" +tm "Actions" +) +inActions (MLText +uid 1067,0 +va (VaSet +) +xt "30172,143435,44572,144635" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1068,0 +va (VaSet +) +xt "33372,140635,33372,140635" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1058,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1059,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31272,141835,37972,143035" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 1069,0 +shape (Circle +uid 1070,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "49186,144305,60738,155857" +radius 5776 +) +name (Text +uid 1071,0 +va (VaSet +font "Verdana,12,1" +) +xt "51912,149381,58012,150781" +st "accel_8" +ju 0 +blo "54962,150581" +tm "ONodeName" +) +wait (TextAssociate +uid 1072,0 +ps "CenterOffsetStrategy" +text (Text +uid 1073,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "54712,150281,59812,151681" +st "wait 2" +blo "54712,151481" +tm "SmWaitText" +) +) +encoding (Text +uid 1074,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54962,151181,54962,151181" +blo "54962,151181" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1077,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1078,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51662,152781,66262,154181" +) +autoResize 1 +tline (Line +uid 1079,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51762,152681,66162,152681" +pts [ +"51762,152681" +"66162,152681" +] +) +bline (Line +uid 1080,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51762,152081,66162,152081" +pts [ +"51762,152081" +"66162,152081" +] +) +ttri (Triangle +uid 1081,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51312,152306,51662,152656" +) +btri (Triangle +uid 1082,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51312,149906,51662,150256" +) +entryActions (MLText +uid 1083,0 +va (VaSet +) +xt "51762,152481,51762,152481" +tm "Actions" +) +inActions (MLText +uid 1084,0 +va (VaSet +) +xt "51762,152881,66162,154081" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1085,0 +va (VaSet +) +xt "54962,150081,54962,150081" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1075,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1076,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "52862,151281,59562,152481" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 1086,0 +shape (Circle +uid 1087,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "26912,153286,38464,164838" +radius 5776 +) +name (Text +uid 1088,0 +va (VaSet +font "Verdana,12,1" +) +xt "29638,158362,35738,159762" +st "accel_9" +ju 0 +blo "32688,159562" +tm "ONodeName" +) +wait (TextAssociate +uid 1089,0 +ps "CenterOffsetStrategy" +text (Text +uid 1090,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "32438,159262,37538,160662" +st "wait 2" +blo "32438,160462" +tm "SmWaitText" +) +) +encoding (Text +uid 1091,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32688,160162,32688,160162" +blo "32688,160162" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1094,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1095,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "28623,162335,43223,163735" +) +autoResize 1 +tline (Line +uid 1096,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28723,162235,43123,162235" +pts [ +"28723,162235" +"43123,162235" +] +) +bline (Line +uid 1097,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28723,161635,43123,161635" +pts [ +"28723,161635" +"43123,161635" +] +) +ttri (Triangle +uid 1098,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28273,161860,28623,162210" +) +btri (Triangle +uid 1099,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28273,159460,28623,159810" +) +entryActions (MLText +uid 1100,0 +va (VaSet +) +xt "28723,162035,28723,162035" +tm "Actions" +) +inActions (MLText +uid 1101,0 +va (VaSet +) +xt "28723,162435,43123,163635" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1102,0 +va (VaSet +) +xt "31923,159635,31923,159635" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1092,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1093,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "30588,160262,37288,161462" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 1103,0 +shape (Circle +uid 1104,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "42858,173184,55290,185616" +radius 6216 +) +name (Text +uid 1105,0 +va (VaSet +font "Verdana,12,1" +) +xt "46074,178700,52074,180100" +st "CruiseR" +ju 0 +blo "49074,179900" +tm "ONodeName" +) +wait (TextAssociate +uid 1106,0 +ps "CenterOffsetStrategy" +text (Text +uid 1107,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48824,179600,53924,181000" +st "wait 2" +blo "48824,180800" +tm "SmWaitText" +) +) +encoding (Text +uid 1108,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49074,180500,49074,180500" +blo "49074,180500" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1111,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1112,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45774,182100,60374,183500" +) +autoResize 1 +tline (Line +uid 1113,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45874,182000,60274,182000" +pts [ +"45874,182000" +"60274,182000" +] +) +bline (Line +uid 1114,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45874,181400,60274,181400" +pts [ +"45874,181400" +"60274,181400" +] +) +ttri (Triangle +uid 1115,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45424,181625,45774,181975" +) +btri (Triangle +uid 1116,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45424,179225,45774,179575" +) +entryActions (MLText +uid 1117,0 +va (VaSet +) +xt "45874,181800,45874,181800" +tm "Actions" +) +inActions (MLText +uid 1118,0 +va (VaSet +) +xt "45874,182200,60274,183400" +st "Power <= \"11111111\" ;" +tm "Actions" +) +exitActions (MLText +uid 1119,0 +va (VaSet +) +xt "49074,179400,49074,179400" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1109,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1110,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46974,180600,53674,181800" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 1269,0 +shape (Circle +uid 1270,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "80675,74692,92227,86244" +radius 5776 +) +name (Text +uid 1271,0 +va (VaSet +font "Verdana,12,1" +) +xt "82951,79768,89951,81168" +st "accel_11" +ju 0 +blo "86451,80968" +tm "ONodeName" +) +wait (TextAssociate +uid 1272,0 +ps "CenterOffsetStrategy" +text (Text +uid 1273,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "86201,80668,91301,82068" +st "wait 2" +blo "86201,81868" +tm "SmWaitText" +) +) +encoding (Text +uid 1274,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "86451,81568,86451,81568" +blo "86451,81568" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1277,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1278,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "83151,83168,97751,84568" +) +autoResize 1 +tline (Line +uid 1279,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "83251,83068,97651,83068" +pts [ +"83251,83068" +"97651,83068" +] +) +bline (Line +uid 1280,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "83251,82468,97651,82468" +pts [ +"83251,82468" +"97651,82468" +] +) +ttri (Triangle +uid 1281,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "82801,82693,83151,83043" +) +btri (Triangle +uid 1282,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "82801,80293,83151,80643" +) +entryActions (MLText +uid 1283,0 +va (VaSet +) +xt "83251,82868,83251,82868" +tm "Actions" +) +inActions (MLText +uid 1284,0 +va (VaSet +) +xt "83251,83268,97651,84468" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1285,0 +va (VaSet +) +xt "86451,80468,86451,80468" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1275,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1276,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "84351,81668,91051,82868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (State +uid 1286,0 +shape (Circle +uid 1287,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99934,83016,111486,94568" +radius 5776 +) +name (Text +uid 1288,0 +va (VaSet +font "Verdana,12,1" +) +xt "102210,88092,109210,89492" +st "accel_12" +ju 0 +blo "105710,89292" +tm "ONodeName" +) +wait (TextAssociate +uid 1289,0 +ps "CenterOffsetStrategy" +text (Text +uid 1290,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105460,88992,110560,90392" +st "wait 2" +blo "105460,90192" +tm "SmWaitText" +) +) +encoding (Text +uid 1291,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105710,89892,105710,89892" +blo "105710,89892" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1295,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102410,91492,117010,92892" +) +autoResize 1 +tline (Line +uid 1296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102510,91392,116910,91392" +pts [ +"102510,91392" +"116910,91392" +] +) +bline (Line +uid 1297,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102510,90792,116910,90792" +pts [ +"102510,90792" +"116910,90792" +] +) +ttri (Triangle +uid 1298,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102060,91017,102410,91367" +) +btri (Triangle +uid 1299,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102060,88617,102410,88967" +) +entryActions (MLText +uid 1300,0 +va (VaSet +) +xt "102510,91192,102510,91192" +tm "Actions" +) +inActions (MLText +uid 1301,0 +va (VaSet +) +xt "102510,91592,116910,92792" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1302,0 +va (VaSet +) +xt "105710,88792,105710,88792" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1292,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1293,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103610,89992,110310,91192" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (State +uid 1303,0 +shape (Circle +uid 1304,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "79373,94309,90925,105861" +radius 5776 +) +name (Text +uid 1305,0 +va (VaSet +font "Verdana,12,1" +) +xt "81649,99385,88649,100785" +st "accel_13" +ju 0 +blo "85149,100585" +tm "ONodeName" +) +wait (TextAssociate +uid 1306,0 +ps "CenterOffsetStrategy" +text (Text +uid 1307,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "84899,100285,89999,101685" +st "wait 2" +blo "84899,101485" +tm "SmWaitText" +) +) +encoding (Text +uid 1308,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "85149,101185,85149,101185" +blo "85149,101185" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1311,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1312,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "81849,102785,96449,104185" +) +autoResize 1 +tline (Line +uid 1313,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81949,102685,96349,102685" +pts [ +"81949,102685" +"96349,102685" +] +) +bline (Line +uid 1314,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81949,102085,96349,102085" +pts [ +"81949,102085" +"96349,102085" +] +) +ttri (Triangle +uid 1315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81499,102310,81849,102660" +) +btri (Triangle +uid 1316,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81499,99910,81849,100260" +) +entryActions (MLText +uid 1317,0 +va (VaSet +) +xt "81949,102485,81949,102485" +tm "Actions" +) +inActions (MLText +uid 1318,0 +va (VaSet +) +xt "81949,102885,96349,104085" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1319,0 +va (VaSet +) +xt "85149,100085,85149,100085" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1309,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1310,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83049,101285,89749,102485" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (State +uid 1320,0 +shape (Circle +uid 1321,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "100022,103479,111574,115031" +radius 5776 +) +name (Text +uid 1322,0 +va (VaSet +font "Verdana,12,1" +) +xt "102298,108555,109298,109955" +st "accel_14" +ju 0 +blo "105798,109755" +tm "ONodeName" +) +wait (TextAssociate +uid 1323,0 +ps "CenterOffsetStrategy" +text (Text +uid 1324,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105548,109455,110648,110855" +st "wait 2" +blo "105548,110655" +tm "SmWaitText" +) +) +encoding (Text +uid 1325,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105798,110355,105798,110355" +blo "105798,110355" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1328,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1329,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102498,111955,117098,113355" +) +autoResize 1 +tline (Line +uid 1330,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102598,111855,116998,111855" +pts [ +"102598,111855" +"116998,111855" +] +) +bline (Line +uid 1331,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102598,111255,116998,111255" +pts [ +"102598,111255" +"116998,111255" +] +) +ttri (Triangle +uid 1332,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102148,111480,102498,111830" +) +btri (Triangle +uid 1333,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102148,109080,102498,109430" +) +entryActions (MLText +uid 1334,0 +va (VaSet +) +xt "102598,111655,102598,111655" +tm "Actions" +) +inActions (MLText +uid 1335,0 +va (VaSet +) +xt "102598,112055,116998,113255" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1336,0 +va (VaSet +) +xt "105798,109255,105798,109255" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1326,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1327,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103698,110455,110398,111655" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*39 (State +uid 1337,0 +shape (Circle +uid 1338,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "78196,113722,89748,125274" +radius 5776 +) +name (Text +uid 1339,0 +va (VaSet +font "Verdana,12,1" +) +xt "80472,118798,87472,120198" +st "accel_15" +ju 0 +blo "83972,119998" +tm "ONodeName" +) +wait (TextAssociate +uid 1340,0 +ps "CenterOffsetStrategy" +text (Text +uid 1341,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "83722,119698,88822,121098" +st "wait 2" +blo "83722,120898" +tm "SmWaitText" +) +) +encoding (Text +uid 1342,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83972,120598,83972,120598" +blo "83972,120598" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1345,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1346,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "80672,122198,95272,123598" +) +autoResize 1 +tline (Line +uid 1347,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80772,122098,95172,122098" +pts [ +"80772,122098" +"95172,122098" +] +) +bline (Line +uid 1348,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80772,121498,95172,121498" +pts [ +"80772,121498" +"95172,121498" +] +) +ttri (Triangle +uid 1349,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80322,121723,80672,122073" +) +btri (Triangle +uid 1350,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80322,119323,80672,119673" +) +entryActions (MLText +uid 1351,0 +va (VaSet +) +xt "80772,121898,80772,121898" +tm "Actions" +) +inActions (MLText +uid 1352,0 +va (VaSet +) +xt "80772,122298,95172,123498" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1353,0 +va (VaSet +) +xt "83972,119498,83972,119498" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1343,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1344,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "81872,120698,88572,121898" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*40 (State +uid 1354,0 +shape (Circle +uid 1355,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99097,123155,110649,134707" +radius 5776 +) +name (Text +uid 1356,0 +va (VaSet +font "Verdana,12,1" +) +xt "101373,128231,108373,129631" +st "accel_16" +ju 0 +blo "104873,129431" +tm "ONodeName" +) +wait (TextAssociate +uid 1357,0 +ps "CenterOffsetStrategy" +text (Text +uid 1358,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "104623,129131,109723,130531" +st "wait 2" +blo "104623,130331" +tm "SmWaitText" +) +) +encoding (Text +uid 1359,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "104873,130031,104873,130031" +blo "104873,130031" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1362,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1363,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "101573,131631,116173,133031" +) +autoResize 1 +tline (Line +uid 1364,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101673,131531,116073,131531" +pts [ +"101673,131531" +"116073,131531" +] +) +bline (Line +uid 1365,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101673,130931,116073,130931" +pts [ +"101673,130931" +"116073,130931" +] +) +ttri (Triangle +uid 1366,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101223,131156,101573,131506" +) +btri (Triangle +uid 1367,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101223,128756,101573,129106" +) +entryActions (MLText +uid 1368,0 +va (VaSet +) +xt "101673,131331,101673,131331" +tm "Actions" +) +inActions (MLText +uid 1369,0 +va (VaSet +) +xt "101673,131731,116073,132931" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1370,0 +va (VaSet +) +xt "104873,128931,104873,128931" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1360,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1361,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "102773,130131,109473,131331" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*41 (State +uid 1371,0 +shape (Circle +uid 1372,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "77431,134200,88983,145752" +radius 5776 +) +name (Text +uid 1373,0 +va (VaSet +font "Verdana,12,1" +) +xt "79707,139276,86707,140676" +st "accel_17" +ju 0 +blo "83207,140476" +tm "ONodeName" +) +wait (TextAssociate +uid 1374,0 +ps "CenterOffsetStrategy" +text (Text +uid 1375,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "82957,140176,88057,141576" +st "wait 2" +blo "82957,141376" +tm "SmWaitText" +) +) +encoding (Text +uid 1376,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83207,141076,83207,141076" +blo "83207,141076" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1379,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1380,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "79907,142676,94507,144076" +) +autoResize 1 +tline (Line +uid 1381,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80007,142576,94407,142576" +pts [ +"80007,142576" +"94407,142576" +] +) +bline (Line +uid 1382,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80007,141976,94407,141976" +pts [ +"80007,141976" +"94407,141976" +] +) +ttri (Triangle +uid 1383,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79557,142201,79907,142551" +) +btri (Triangle +uid 1384,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79557,139801,79907,140151" +) +entryActions (MLText +uid 1385,0 +va (VaSet +) +xt "80007,142376,80007,142376" +tm "Actions" +) +inActions (MLText +uid 1386,0 +va (VaSet +) +xt "80007,142776,94407,143976" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1387,0 +va (VaSet +) +xt "83207,139976,83207,139976" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1377,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1378,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "81107,141176,87807,142376" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*42 (State +uid 1388,0 +shape (Circle +uid 1389,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99021,143646,110573,155198" +radius 5776 +) +name (Text +uid 1390,0 +va (VaSet +font "Verdana,12,1" +) +xt "101297,148722,108297,150122" +st "accel_18" +ju 0 +blo "104797,149922" +tm "ONodeName" +) +wait (TextAssociate +uid 1391,0 +ps "CenterOffsetStrategy" +text (Text +uid 1392,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "104547,149622,109647,151022" +st "wait 2" +blo "104547,150822" +tm "SmWaitText" +) +) +encoding (Text +uid 1393,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "104797,150522,104797,150522" +blo "104797,150522" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1397,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "101497,152122,116097,153522" +) +autoResize 1 +tline (Line +uid 1398,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101597,152022,115997,152022" +pts [ +"101597,152022" +"115997,152022" +] +) +bline (Line +uid 1399,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101597,151422,115997,151422" +pts [ +"101597,151422" +"115997,151422" +] +) +ttri (Triangle +uid 1400,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101147,151647,101497,151997" +) +btri (Triangle +uid 1401,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101147,149247,101497,149597" +) +entryActions (MLText +uid 1402,0 +va (VaSet +) +xt "101597,151822,101597,151822" +tm "Actions" +) +inActions (MLText +uid 1403,0 +va (VaSet +) +xt "101597,152222,115997,153422" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1404,0 +va (VaSet +) +xt "104797,149422,104797,149422" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1394,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1395,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "102697,150622,109397,151822" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*43 (State +uid 1405,0 +shape (Circle +uid 1406,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "76747,152627,88299,164179" +radius 5776 +) +name (Text +uid 1407,0 +va (VaSet +font "Verdana,12,1" +) +xt "79023,157703,86023,159103" +st "accel_19" +ju 0 +blo "82523,158903" +tm "ONodeName" +) +wait (TextAssociate +uid 1408,0 +ps "CenterOffsetStrategy" +text (Text +uid 1409,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "82273,158603,87373,160003" +st "wait 2" +blo "82273,159803" +tm "SmWaitText" +) +) +encoding (Text +uid 1410,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "82523,159503,82523,159503" +blo "82523,159503" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1413,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1414,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "78458,161676,93058,163076" +) +autoResize 1 +tline (Line +uid 1415,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78558,161576,92958,161576" +pts [ +"78558,161576" +"92958,161576" +] +) +bline (Line +uid 1416,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78558,160976,92958,160976" +pts [ +"78558,160976" +"92958,160976" +] +) +ttri (Triangle +uid 1417,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78108,161201,78458,161551" +) +btri (Triangle +uid 1418,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78108,158801,78458,159151" +) +entryActions (MLText +uid 1419,0 +va (VaSet +) +xt "78558,161376,78558,161376" +tm "Actions" +) +inActions (MLText +uid 1420,0 +va (VaSet +) +xt "78558,161776,92958,162976" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1421,0 +va (VaSet +) +xt "81758,158976,81758,158976" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1411,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1412,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80423,159603,87123,160803" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*44 (State +uid 1422,0 +shape (Circle +uid 1423,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99126,171975,111558,184407" +radius 6216 +) +name (Text +uid 1424,0 +va (VaSet +font "Verdana,12,1" +) +xt "102392,177491,108292,178891" +st "CruiseL" +ju 0 +blo "105342,178691" +tm "ONodeName" +) +wait (TextAssociate +uid 1425,0 +ps "CenterOffsetStrategy" +text (Text +uid 1426,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105092,178391,110192,179791" +st "wait 2" +blo "105092,179591" +tm "SmWaitText" +) +) +encoding (Text +uid 1427,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105342,179291,105342,179291" +blo "105342,179291" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1430,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1431,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102042,180891,116642,182291" +) +autoResize 1 +tline (Line +uid 1432,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102142,180791,116542,180791" +pts [ +"102142,180791" +"116542,180791" +] +) +bline (Line +uid 1433,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102142,180191,116542,180191" +pts [ +"102142,180191" +"116542,180191" +] +) +ttri (Triangle +uid 1434,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101692,180416,102042,180766" +) +btri (Triangle +uid 1435,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101692,178016,102042,178366" +) +entryActions (MLText +uid 1436,0 +va (VaSet +) +xt "102142,180591,102142,180591" +tm "Actions" +) +inActions (MLText +uid 1437,0 +va (VaSet +) +xt "102142,180991,116542,182191" +st "Power <= \"11111111\" ;" +tm "Actions" +) +exitActions (MLText +uid 1438,0 +va (VaSet +) +xt "105342,178191,105342,178191" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1428,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1429,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103242,179391,109942,180591" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*45 (State +uid 1569,0 +shape (Circle +uid 1570,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "24971,193658,36523,205210" +radius 5776 +) +name (Text +uid 1571,0 +va (VaSet +font "Verdana,12,1" +) +xt "27647,198734,33847,200134" +st "decel_1" +ju 0 +blo "30747,199934" +tm "ONodeName" +) +wait (TextAssociate +uid 1572,0 +ps "CenterOffsetStrategy" +text (Text +uid 1573,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "30497,199634,35597,201034" +st "wait 2" +blo "30497,200834" +tm "SmWaitText" +) +) +encoding (Text +uid 1574,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "30747,200534,30747,200534" +blo "30747,200534" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1577,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1578,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "27447,202134,42047,203534" +) +autoResize 1 +tline (Line +uid 1579,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27547,202034,41947,202034" +pts [ +"27547,202034" +"41947,202034" +] +) +bline (Line +uid 1580,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27547,201434,41947,201434" +pts [ +"27547,201434" +"41947,201434" +] +) +ttri (Triangle +uid 1581,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27097,201659,27447,202009" +) +btri (Triangle +uid 1582,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27097,199259,27447,199609" +) +entryActions (MLText +uid 1583,0 +va (VaSet +) +xt "27547,201834,27547,201834" +tm "Actions" +) +inActions (MLText +uid 1584,0 +va (VaSet +) +xt "27547,202234,41947,203434" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1585,0 +va (VaSet +) +xt "30747,199434,30747,199434" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1575,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1576,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "28647,200634,35347,201834" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*46 (State +uid 1586,0 +shape (Circle +uid 1587,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "44230,201982,55782,213534" +radius 5776 +) +name (Text +uid 1588,0 +va (VaSet +font "Verdana,12,1" +) +xt "46906,207058,53106,208458" +st "decel_2" +ju 0 +blo "50006,208258" +tm "ONodeName" +) +wait (TextAssociate +uid 1589,0 +ps "CenterOffsetStrategy" +text (Text +uid 1590,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "49756,207958,54856,209358" +st "wait 2" +blo "49756,209158" +tm "SmWaitText" +) +) +encoding (Text +uid 1591,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "50006,208858,50006,208858" +blo "50006,208858" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1594,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1595,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "46706,210458,61306,211858" +) +autoResize 1 +tline (Line +uid 1596,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46806,210358,61206,210358" +pts [ +"46806,210358" +"61206,210358" +] +) +bline (Line +uid 1597,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46806,209758,61206,209758" +pts [ +"46806,209758" +"61206,209758" +] +) +ttri (Triangle +uid 1598,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46356,209983,46706,210333" +) +btri (Triangle +uid 1599,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46356,207583,46706,207933" +) +entryActions (MLText +uid 1600,0 +va (VaSet +) +xt "46806,210158,46806,210158" +tm "Actions" +) +inActions (MLText +uid 1601,0 +va (VaSet +) +xt "46806,210558,61206,211758" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1602,0 +va (VaSet +) +xt "50006,207758,50006,207758" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1592,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1593,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47906,208958,54606,210158" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*47 (State +uid 1603,0 +shape (Circle +uid 1604,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "23669,213275,35221,224827" +radius 5776 +) +name (Text +uid 1605,0 +va (VaSet +font "Verdana,12,1" +) +xt "26345,218351,32545,219751" +st "decel_3" +ju 0 +blo "29445,219551" +tm "ONodeName" +) +wait (TextAssociate +uid 1606,0 +ps "CenterOffsetStrategy" +text (Text +uid 1607,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "29195,219251,34295,220651" +st "wait 2" +blo "29195,220451" +tm "SmWaitText" +) +) +encoding (Text +uid 1608,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29445,220151,29445,220151" +blo "29445,220151" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1611,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1612,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "26145,221751,40745,223151" +) +autoResize 1 +tline (Line +uid 1613,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26245,221651,40645,221651" +pts [ +"26245,221651" +"40645,221651" +] +) +bline (Line +uid 1614,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26245,221051,40645,221051" +pts [ +"26245,221051" +"40645,221051" +] +) +ttri (Triangle +uid 1615,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25795,221276,26145,221626" +) +btri (Triangle +uid 1616,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25795,218876,26145,219226" +) +entryActions (MLText +uid 1617,0 +va (VaSet +) +xt "26245,221451,26245,221451" +tm "Actions" +) +inActions (MLText +uid 1618,0 +va (VaSet +) +xt "26245,221851,40645,223051" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1619,0 +va (VaSet +) +xt "29445,219051,29445,219051" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1609,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1610,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27345,220251,34045,221451" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*48 (State +uid 1620,0 +shape (Circle +uid 1621,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "44318,222445,55870,233997" +radius 5776 +) +name (Text +uid 1622,0 +va (VaSet +font "Verdana,12,1" +) +xt "46994,227521,53194,228921" +st "decel_4" +ju 0 +blo "50094,228721" +tm "ONodeName" +) +wait (TextAssociate +uid 1623,0 +ps "CenterOffsetStrategy" +text (Text +uid 1624,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "49844,228421,54944,229821" +st "wait 2" +blo "49844,229621" +tm "SmWaitText" +) +) +encoding (Text +uid 1625,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "50094,229321,50094,229321" +blo "50094,229321" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1628,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1629,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "46794,230921,61394,232321" +) +autoResize 1 +tline (Line +uid 1630,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46894,230821,61294,230821" +pts [ +"46894,230821" +"61294,230821" +] +) +bline (Line +uid 1631,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46894,230221,61294,230221" +pts [ +"46894,230221" +"61294,230221" +] +) +ttri (Triangle +uid 1632,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46444,230446,46794,230796" +) +btri (Triangle +uid 1633,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46444,228046,46794,228396" +) +entryActions (MLText +uid 1634,0 +va (VaSet +) +xt "46894,230621,46894,230621" +tm "Actions" +) +inActions (MLText +uid 1635,0 +va (VaSet +) +xt "46894,231021,61294,232221" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1636,0 +va (VaSet +) +xt "50094,228221,50094,228221" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1626,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1627,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47994,229421,54694,230621" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*49 (State +uid 1637,0 +shape (Circle +uid 1638,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22492,232688,34044,244240" +radius 5776 +) +name (Text +uid 1639,0 +va (VaSet +font "Verdana,12,1" +) +xt "25168,237764,31368,239164" +st "decel_5" +ju 0 +blo "28268,238964" +tm "ONodeName" +) +wait (TextAssociate +uid 1640,0 +ps "CenterOffsetStrategy" +text (Text +uid 1641,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "28018,238664,33118,240064" +st "wait 2" +blo "28018,239864" +tm "SmWaitText" +) +) +encoding (Text +uid 1642,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "28268,239564,28268,239564" +blo "28268,239564" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1645,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1646,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24968,241164,39568,242564" +) +autoResize 1 +tline (Line +uid 1647,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25068,241064,39468,241064" +pts [ +"25068,241064" +"39468,241064" +] +) +bline (Line +uid 1648,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25068,240464,39468,240464" +pts [ +"25068,240464" +"39468,240464" +] +) +ttri (Triangle +uid 1649,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24618,240689,24968,241039" +) +btri (Triangle +uid 1650,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24618,238289,24968,238639" +) +entryActions (MLText +uid 1651,0 +va (VaSet +) +xt "25068,240864,25068,240864" +tm "Actions" +) +inActions (MLText +uid 1652,0 +va (VaSet +) +xt "25068,241264,39468,242464" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1653,0 +va (VaSet +) +xt "28268,238464,28268,238464" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1643,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1644,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "26168,239664,32868,240864" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*50 (State +uid 1654,0 +shape (Circle +uid 1655,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43393,242121,54945,253673" +radius 5776 +) +name (Text +uid 1656,0 +va (VaSet +font "Verdana,12,1" +) +xt "46069,247197,52269,248597" +st "decel_6" +ju 0 +blo "49169,248397" +tm "ONodeName" +) +wait (TextAssociate +uid 1657,0 +ps "CenterOffsetStrategy" +text (Text +uid 1658,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48919,248097,54019,249497" +st "wait 2" +blo "48919,249297" +tm "SmWaitText" +) +) +encoding (Text +uid 1659,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49169,248997,49169,248997" +blo "49169,248997" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1662,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1663,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45869,250597,60469,251997" +) +autoResize 1 +tline (Line +uid 1664,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45969,250497,60369,250497" +pts [ +"45969,250497" +"60369,250497" +] +) +bline (Line +uid 1665,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45969,249897,60369,249897" +pts [ +"45969,249897" +"60369,249897" +] +) +ttri (Triangle +uid 1666,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45519,250122,45869,250472" +) +btri (Triangle +uid 1667,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45519,247722,45869,248072" +) +entryActions (MLText +uid 1668,0 +va (VaSet +) +xt "45969,250297,45969,250297" +tm "Actions" +) +inActions (MLText +uid 1669,0 +va (VaSet +) +xt "45969,250697,60369,251897" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1670,0 +va (VaSet +) +xt "49169,247897,49169,247897" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1660,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1661,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47069,249097,53769,250297" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 1671,0 +shape (Circle +uid 1672,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21727,253166,33279,264718" +radius 5776 +) +name (Text +uid 1673,0 +va (VaSet +font "Verdana,12,1" +) +xt "24403,258242,30603,259642" +st "decel_7" +ju 0 +blo "27503,259442" +tm "ONodeName" +) +wait (TextAssociate +uid 1674,0 +ps "CenterOffsetStrategy" +text (Text +uid 1675,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "27253,259142,32353,260542" +st "wait 2" +blo "27253,260342" +tm "SmWaitText" +) +) +encoding (Text +uid 1676,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27503,260042,27503,260042" +blo "27503,260042" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1679,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1680,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24203,261642,38803,263042" +) +autoResize 1 +tline (Line +uid 1681,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24303,261542,38703,261542" +pts [ +"24303,261542" +"38703,261542" +] +) +bline (Line +uid 1682,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24303,260942,38703,260942" +pts [ +"24303,260942" +"38703,260942" +] +) +ttri (Triangle +uid 1683,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23853,261167,24203,261517" +) +btri (Triangle +uid 1684,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23853,258767,24203,259117" +) +entryActions (MLText +uid 1685,0 +va (VaSet +) +xt "24303,261342,24303,261342" +tm "Actions" +) +inActions (MLText +uid 1686,0 +va (VaSet +) +xt "24303,261742,38703,262942" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1687,0 +va (VaSet +) +xt "27503,258942,27503,258942" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1677,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1678,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "25403,260142,32103,261342" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*52 (State +uid 1688,0 +shape (Circle +uid 1689,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43317,262612,54869,274164" +radius 5776 +) +name (Text +uid 1690,0 +va (VaSet +font "Verdana,12,1" +) +xt "45993,267688,52193,269088" +st "decel_8" +ju 0 +blo "49093,268888" +tm "ONodeName" +) +wait (TextAssociate +uid 1691,0 +ps "CenterOffsetStrategy" +text (Text +uid 1692,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48843,268588,53943,269988" +st "wait 2" +blo "48843,269788" +tm "SmWaitText" +) +) +encoding (Text +uid 1693,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49093,269488,49093,269488" +blo "49093,269488" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1696,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1697,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45793,271088,60393,272488" +) +autoResize 1 +tline (Line +uid 1698,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45893,270988,60293,270988" +pts [ +"45893,270988" +"60293,270988" +] +) +bline (Line +uid 1699,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45893,270388,60293,270388" +pts [ +"45893,270388" +"60293,270388" +] +) +ttri (Triangle +uid 1700,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45443,270613,45793,270963" +) +btri (Triangle +uid 1701,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45443,268213,45793,268563" +) +entryActions (MLText +uid 1702,0 +va (VaSet +) +xt "45893,270788,45893,270788" +tm "Actions" +) +inActions (MLText +uid 1703,0 +va (VaSet +) +xt "45893,271188,60293,272388" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1704,0 +va (VaSet +) +xt "49093,268388,49093,268388" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1694,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1695,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46993,269588,53693,270788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*53 (State +uid 1705,0 +shape (Circle +uid 1706,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21043,271593,32595,283145" +radius 5776 +) +name (Text +uid 1707,0 +va (VaSet +font "Verdana,12,1" +) +xt "23719,276669,29919,278069" +st "decel_9" +ju 0 +blo "26819,277869" +tm "ONodeName" +) +wait (TextAssociate +uid 1708,0 +ps "CenterOffsetStrategy" +text (Text +uid 1709,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "26569,277569,31669,278969" +st "wait 2" +blo "26569,278769" +tm "SmWaitText" +) +) +encoding (Text +uid 1710,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "26819,278469,26819,278469" +blo "26819,278469" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1713,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1714,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "22754,280642,37354,282042" +) +autoResize 1 +tline (Line +uid 1715,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22854,280542,37254,280542" +pts [ +"22854,280542" +"37254,280542" +] +) +bline (Line +uid 1716,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22854,279942,37254,279942" +pts [ +"22854,279942" +"37254,279942" +] +) +ttri (Triangle +uid 1717,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22404,280167,22754,280517" +) +btri (Triangle +uid 1718,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22404,277767,22754,278117" +) +entryActions (MLText +uid 1719,0 +va (VaSet +) +xt "22854,280342,22854,280342" +tm "Actions" +) +inActions (MLText +uid 1720,0 +va (VaSet +) +xt "22854,280742,37254,281942" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1721,0 +va (VaSet +) +xt "26054,277942,26054,277942" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1711,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1712,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "24719,278569,31419,279769" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*54 (State +uid 1722,0 +shape (Circle +uid 1723,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "75959,301050,88391,313482" +radius 6216 +) +name (Text +uid 1724,0 +va (VaSet +font "Verdana,12,1" +) +xt "80325,306566,84025,307966" +st "stop" +ju 0 +blo "82175,307766" +tm "ONodeName" +) +wait (TextAssociate +uid 1725,0 +ps "CenterOffsetStrategy" +text (Text +uid 1726,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "81925,307466,87025,308866" +st "wait 2" +blo "81925,308666" +tm "SmWaitText" +) +) +encoding (Text +uid 1727,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "82175,308366,82175,308366" +blo "82175,308366" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1730,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1731,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "79025,309966,93325,311366" +) +autoResize 1 +tline (Line +uid 1732,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79125,309866,93225,309866" +pts [ +"79125,309866" +"93225,309866" +] +) +bline (Line +uid 1733,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79125,309266,93225,309266" +pts [ +"79125,309266" +"93225,309266" +] +) +ttri (Triangle +uid 1734,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78675,309491,79025,309841" +) +btri (Triangle +uid 1735,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78675,307091,79025,307441" +) +entryActions (MLText +uid 1736,0 +va (VaSet +) +xt "79125,309666,79125,309666" +tm "Actions" +) +inActions (MLText +uid 1737,0 +va (VaSet +) +xt "79125,310066,93225,311266" +st "Power <= \"00000000\";" +tm "Actions" +) +exitActions (MLText +uid 1738,0 +va (VaSet +) +xt "82175,307266,82175,307266" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1728,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1729,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80075,308466,86775,309666" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*55 (State +uid 1885,0 +shape (Circle +uid 1886,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "121657,196149,133209,207701" +radius 5776 +) +name (Text +uid 1887,0 +va (VaSet +font "Verdana,12,1" +) +xt "123883,201225,130983,202625" +st "decel_10" +ju 0 +blo "127433,202425" +tm "ONodeName" +) +wait (TextAssociate +uid 1888,0 +ps "CenterOffsetStrategy" +text (Text +uid 1889,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "127183,202125,132283,203525" +st "wait 2" +blo "127183,203325" +tm "SmWaitText" +) +) +encoding (Text +uid 1890,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "127433,203025,127433,203025" +blo "127433,203025" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1893,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1894,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "124133,204625,138733,206025" +) +autoResize 1 +tline (Line +uid 1895,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "124233,204525,138633,204525" +pts [ +"124233,204525" +"138633,204525" +] +) +bline (Line +uid 1896,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "124233,203925,138633,203925" +pts [ +"124233,203925" +"138633,203925" +] +) +ttri (Triangle +uid 1897,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123783,204150,124133,204500" +) +btri (Triangle +uid 1898,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123783,201750,124133,202100" +) +entryActions (MLText +uid 1899,0 +va (VaSet +) +xt "124233,204325,124233,204325" +tm "Actions" +) +inActions (MLText +uid 1900,0 +va (VaSet +) +xt "124233,204725,138633,205925" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1901,0 +va (VaSet +) +xt "127433,201925,127433,201925" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1891,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1892,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "125333,203125,132033,204325" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*56 (State +uid 1902,0 +shape (Circle +uid 1903,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140916,204473,152468,216025" +radius 5776 +) +name (Text +uid 1904,0 +va (VaSet +font "Verdana,12,1" +) +xt "143142,209549,150242,210949" +st "decel_11" +ju 0 +blo "146692,210749" +tm "ONodeName" +) +wait (TextAssociate +uid 1905,0 +ps "CenterOffsetStrategy" +text (Text +uid 1906,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "146442,210449,151542,211849" +st "wait 2" +blo "146442,211649" +tm "SmWaitText" +) +) +encoding (Text +uid 1907,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "146692,211349,146692,211349" +blo "146692,211349" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1910,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1911,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "143392,212949,157992,214349" +) +autoResize 1 +tline (Line +uid 1912,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143492,212849,157892,212849" +pts [ +"143492,212849" +"157892,212849" +] +) +bline (Line +uid 1913,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143492,212249,157892,212249" +pts [ +"143492,212249" +"157892,212249" +] +) +ttri (Triangle +uid 1914,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143042,212474,143392,212824" +) +btri (Triangle +uid 1915,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143042,210074,143392,210424" +) +entryActions (MLText +uid 1916,0 +va (VaSet +) +xt "143492,212649,143492,212649" +tm "Actions" +) +inActions (MLText +uid 1917,0 +va (VaSet +) +xt "143492,213049,157892,214249" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1918,0 +va (VaSet +) +xt "146692,210249,146692,210249" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1908,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1909,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "144592,211449,151292,212649" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*57 (State +uid 1919,0 +shape (Circle +uid 1920,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "120355,215766,131907,227318" +radius 5776 +) +name (Text +uid 1921,0 +va (VaSet +font "Verdana,12,1" +) +xt "122581,220842,129681,222242" +st "decel_12" +ju 0 +blo "126131,222042" +tm "ONodeName" +) +wait (TextAssociate +uid 1922,0 +ps "CenterOffsetStrategy" +text (Text +uid 1923,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "125881,221742,130981,223142" +st "wait 2" +blo "125881,222942" +tm "SmWaitText" +) +) +encoding (Text +uid 1924,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "126131,222642,126131,222642" +blo "126131,222642" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1927,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1928,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "122831,224242,137431,225642" +) +autoResize 1 +tline (Line +uid 1929,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122931,224142,137331,224142" +pts [ +"122931,224142" +"137331,224142" +] +) +bline (Line +uid 1930,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122931,223542,137331,223542" +pts [ +"122931,223542" +"137331,223542" +] +) +ttri (Triangle +uid 1931,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122481,223767,122831,224117" +) +btri (Triangle +uid 1932,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122481,221367,122831,221717" +) +entryActions (MLText +uid 1933,0 +va (VaSet +) +xt "122931,223942,122931,223942" +tm "Actions" +) +inActions (MLText +uid 1934,0 +va (VaSet +) +xt "122931,224342,137331,225542" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1935,0 +va (VaSet +) +xt "126131,221542,126131,221542" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1925,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1926,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124031,222742,130731,223942" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*58 (State +uid 1936,0 +shape (Circle +uid 1937,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "141004,224936,152556,236488" +radius 5776 +) +name (Text +uid 1938,0 +va (VaSet +font "Verdana,12,1" +) +xt "143230,230012,150330,231412" +st "decel_13" +ju 0 +blo "146780,231212" +tm "ONodeName" +) +wait (TextAssociate +uid 1939,0 +ps "CenterOffsetStrategy" +text (Text +uid 1940,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "146530,230912,151630,232312" +st "wait 2" +blo "146530,232112" +tm "SmWaitText" +) +) +encoding (Text +uid 1941,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "146780,231812,146780,231812" +blo "146780,231812" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1944,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1945,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "143480,233412,158080,234812" +) +autoResize 1 +tline (Line +uid 1946,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143580,233312,157980,233312" +pts [ +"143580,233312" +"157980,233312" +] +) +bline (Line +uid 1947,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143580,232712,157980,232712" +pts [ +"143580,232712" +"157980,232712" +] +) +ttri (Triangle +uid 1948,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143130,232937,143480,233287" +) +btri (Triangle +uid 1949,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143130,230537,143480,230887" +) +entryActions (MLText +uid 1950,0 +va (VaSet +) +xt "143580,233112,143580,233112" +tm "Actions" +) +inActions (MLText +uid 1951,0 +va (VaSet +) +xt "143580,233512,157980,234712" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1952,0 +va (VaSet +) +xt "146780,230712,146780,230712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1942,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1943,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "144680,231912,151380,233112" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*59 (State +uid 1953,0 +shape (Circle +uid 1954,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "119178,235179,130730,246731" +radius 5776 +) +name (Text +uid 1955,0 +va (VaSet +font "Verdana,12,1" +) +xt "121404,240255,128504,241655" +st "decel_14" +ju 0 +blo "124954,241455" +tm "ONodeName" +) +wait (TextAssociate +uid 1956,0 +ps "CenterOffsetStrategy" +text (Text +uid 1957,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "124704,241155,129804,242555" +st "wait 2" +blo "124704,242355" +tm "SmWaitText" +) +) +encoding (Text +uid 1958,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124954,242055,124954,242055" +blo "124954,242055" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1961,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1962,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "121654,243655,136254,245055" +) +autoResize 1 +tline (Line +uid 1963,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121754,243555,136154,243555" +pts [ +"121754,243555" +"136154,243555" +] +) +bline (Line +uid 1964,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121754,242955,136154,242955" +pts [ +"121754,242955" +"136154,242955" +] +) +ttri (Triangle +uid 1965,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121304,243180,121654,243530" +) +btri (Triangle +uid 1966,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121304,240780,121654,241130" +) +entryActions (MLText +uid 1967,0 +va (VaSet +) +xt "121754,243355,121754,243355" +tm "Actions" +) +inActions (MLText +uid 1968,0 +va (VaSet +) +xt "121754,243755,136154,244955" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1969,0 +va (VaSet +) +xt "124954,240955,124954,240955" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1959,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1960,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "122854,242155,129554,243355" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*60 (State +uid 1970,0 +shape (Circle +uid 1971,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140079,244612,151631,256164" +radius 5776 +) +name (Text +uid 1972,0 +va (VaSet +font "Verdana,12,1" +) +xt "142305,249688,149405,251088" +st "decel_15" +ju 0 +blo "145855,250888" +tm "ONodeName" +) +wait (TextAssociate +uid 1973,0 +ps "CenterOffsetStrategy" +text (Text +uid 1974,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "145605,250588,150705,251988" +st "wait 2" +blo "145605,251788" +tm "SmWaitText" +) +) +encoding (Text +uid 1975,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "145855,251488,145855,251488" +blo "145855,251488" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1978,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1979,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "142555,253088,157155,254488" +) +autoResize 1 +tline (Line +uid 1980,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142655,252988,157055,252988" +pts [ +"142655,252988" +"157055,252988" +] +) +bline (Line +uid 1981,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142655,252388,157055,252388" +pts [ +"142655,252388" +"157055,252388" +] +) +ttri (Triangle +uid 1982,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142205,252613,142555,252963" +) +btri (Triangle +uid 1983,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142205,250213,142555,250563" +) +entryActions (MLText +uid 1984,0 +va (VaSet +) +xt "142655,252788,142655,252788" +tm "Actions" +) +inActions (MLText +uid 1985,0 +va (VaSet +) +xt "142655,253188,157055,254388" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1986,0 +va (VaSet +) +xt "145855,250388,145855,250388" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1976,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1977,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "143755,251588,150455,252788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*61 (State +uid 1987,0 +shape (Circle +uid 1988,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "118413,255657,129965,267209" +radius 5776 +) +name (Text +uid 1989,0 +va (VaSet +font "Verdana,12,1" +) +xt "120639,260733,127739,262133" +st "decel_16" +ju 0 +blo "124189,261933" +tm "ONodeName" +) +wait (TextAssociate +uid 1990,0 +ps "CenterOffsetStrategy" +text (Text +uid 1991,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "123939,261633,129039,263033" +st "wait 2" +blo "123939,262833" +tm "SmWaitText" +) +) +encoding (Text +uid 1992,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124189,262533,124189,262533" +blo "124189,262533" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1995,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1996,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "120889,264133,135489,265533" +) +autoResize 1 +tline (Line +uid 1997,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120989,264033,135389,264033" +pts [ +"120989,264033" +"135389,264033" +] +) +bline (Line +uid 1998,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120989,263433,135389,263433" +pts [ +"120989,263433" +"135389,263433" +] +) +ttri (Triangle +uid 1999,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120539,263658,120889,264008" +) +btri (Triangle +uid 2000,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120539,261258,120889,261608" +) +entryActions (MLText +uid 2001,0 +va (VaSet +) +xt "120989,263833,120989,263833" +tm "Actions" +) +inActions (MLText +uid 2002,0 +va (VaSet +) +xt "120989,264233,135389,265433" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 2003,0 +va (VaSet +) +xt "124189,261433,124189,261433" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1993,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1994,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "122089,262633,128789,263833" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*62 (State +uid 2004,0 +shape (Circle +uid 2005,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140003,265103,151555,276655" +radius 5776 +) +name (Text +uid 2006,0 +va (VaSet +font "Verdana,12,1" +) +xt "142229,270179,149329,271579" +st "decel_17" +ju 0 +blo "145779,271379" +tm "ONodeName" +) +wait (TextAssociate +uid 2007,0 +ps "CenterOffsetStrategy" +text (Text +uid 2008,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "145529,271079,150629,272479" +st "wait 2" +blo "145529,272279" +tm "SmWaitText" +) +) +encoding (Text +uid 2009,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "145779,271979,145779,271979" +blo "145779,271979" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 2012,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2013,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "142479,273579,157079,274979" +) +autoResize 1 +tline (Line +uid 2014,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142579,273479,156979,273479" +pts [ +"142579,273479" +"156979,273479" +] +) +bline (Line +uid 2015,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142579,272879,156979,272879" +pts [ +"142579,272879" +"156979,272879" +] +) +ttri (Triangle +uid 2016,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142129,273104,142479,273454" +) +btri (Triangle +uid 2017,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142129,270704,142479,271054" +) +entryActions (MLText +uid 2018,0 +va (VaSet +) +xt "142579,273279,142579,273279" +tm "Actions" +) +inActions (MLText +uid 2019,0 +va (VaSet +) +xt "142579,273679,156979,274879" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 2020,0 +va (VaSet +) +xt "145779,270879,145779,270879" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 2010,0 +ps "CenterOffsetStrategy" +text (MLText +uid 2011,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "143679,272079,150379,273279" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*63 (State +uid 2021,0 +shape (Circle +uid 2022,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "117729,274084,129281,285636" +radius 5776 +) +name (Text +uid 2023,0 +va (VaSet +font "Verdana,12,1" +) +xt "119955,279160,127055,280560" +st "decel_18" +ju 0 +blo "123505,280360" +tm "ONodeName" +) +wait (TextAssociate +uid 2024,0 +ps "CenterOffsetStrategy" +text (Text +uid 2025,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "123255,280060,128355,281460" +st "wait 2" +blo "123255,281260" +tm "SmWaitText" +) +) +encoding (Text +uid 2026,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "123505,280960,123505,280960" +blo "123505,280960" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 2029,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2030,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "119440,283133,134040,284533" +) +autoResize 1 +tline (Line +uid 2031,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119540,283033,133940,283033" +pts [ +"119540,283033" +"133940,283033" +] +) +bline (Line +uid 2032,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119540,282433,133940,282433" +pts [ +"119540,282433" +"133940,282433" +] +) +ttri (Triangle +uid 2033,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119090,282658,119440,283008" +) +btri (Triangle +uid 2034,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119090,280258,119440,280608" +) +entryActions (MLText +uid 2035,0 +va (VaSet +) +xt "119540,282833,119540,282833" +tm "Actions" +) +inActions (MLText +uid 2036,0 +va (VaSet +) +xt "119540,283233,133940,284433" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 2037,0 +va (VaSet +) +xt "122740,280433,122740,280433" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 2027,0 +ps "CenterOffsetStrategy" +text (MLText +uid 2028,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "121405,281060,128105,282260" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*64 (InterruptPoint +uid 2155,0 +shape (CompositeShape +uid 2156,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 2157,0 +sl 0 +ro 270 +xt "1789,24688,4039,25688" +) +(Line +uid 2158,0 +sl 0 +ro 270 +xt "4039,25188,4539,25188" +pts [ +"4039,25188" +"4539,25188" +] +) +(CustomPolygon +pts [ +"2039,25413" +"2039,25113" +"2689,25238" +"2589,24963" +"3689,25163" +"2864,25163" +"3039,25413" +] +uid 2159,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "2039,24963,3689,25413" +) +] +) +) +*65 (Link +uid 2170,0 +shape (CompositeShape +uid 2171,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 2172,0 +sl 0 +ro 270 +xt "18247,24841,20497,25841" +) +(Line +uid 2173,0 +sl 0 +ro 270 +xt "17747,25341,18247,25341" +pts [ +"17747,25341" +"18247,25341" +] +) +] +) +name (TextAssociate +uid 2174,0 +ps "CenterOffsetStrategy" +text (Text +uid 2175,0 +va (VaSet +font "Verdana,9,1" +) +xt "20997,24841,23797,26041" +st "stop" +blo "20997,25841" +tm "LinkName" +) +) +) +*66 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*67 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*68 (Transition +uid 272,0 +shape (Spline +uid 273,0 +va (VaSet +vasetType 3 +) +xt "36306,18270,69465,35850" +pts [ +"69465,18270" +"41047,25392" +"36306,35850" +] +arrow 1 +) +start &2 +end &20 +cond "button = \"0010\"" +tb (TransitionBlock +uid 274,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 275,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "40547,24892,51247,27092" +) +autoResize 1 +lineShape (Line +uid 276,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "43697,26992,43697,26992" +pts [ +"43697,26992" +"43697,26992" +] +) +condition (MLText +uid 277,0 +va (VaSet +) +xt "41047,25392,50747,26592" +st "button = \"0010\"" +tm "Condition" +) +actions (MLText +uid 278,0 +va (VaSet +) +xt "45897,26992,45897,26992" +tm "Actions" +) +) +tp (TransitionPriority +uid 279,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 280,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "64348,18082,66474,20208" +radius 1063 +) +pr (Text +uid 281,0 +va (VaSet +) +xt "64711,18545,66111,19745" +st "1" +ju 0 +blo "65411,19545" +tm "TransitionPriority" +) +padding "100,100" +) +) +*69 (Transition +uid 282,0 +shape (Spline +uid 283,0 +va (VaSet +vasetType 3 +) +xt "73088,21715,73340,34997" +pts [ +"73088,21715" +"73340,34997" +] +arrow 1 +) +start &2 +end &19 +cond "button = \"0100\"" +tb (TransitionBlock +uid 284,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 285,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "72714,27856,83414,30056" +) +autoResize 1 +lineShape (Line +uid 286,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "75864,29956,75864,29956" +pts [ +"75864,29956" +"75864,29956" +] +) +condition (MLText +uid 287,0 +va (VaSet +) +xt "73214,28356,82914,29556" +st "button = \"0100\"" +tm "Condition" +) +actions (MLText +uid 288,0 +va (VaSet +) +xt "78064,29956,78064,29956" +tm "Actions" +) +) +tp (TransitionPriority +uid 289,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "72050,21979,74176,24105" +radius 1063 +) +pr (Text +uid 291,0 +va (VaSet +) +xt "72413,22442,73813,23642" +st "2" +ju 0 +blo "73113,23442" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 292,0 +shape (Spline +uid 293,0 +va (VaSet +vasetType 3 +) +xt "76406,19216,120443,30283" +pts [ +"76406,19216" +"114057,21175" +"120443,30283" +] +arrow 1 +) +start &2 +end &21 +cond "button = \"0001\"" +tb (TransitionBlock +uid 294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 295,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113557,20675,124257,22875" +) +autoResize 1 +lineShape (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "116707,22775,116707,22775" +pts [ +"116707,22775" +"116707,22775" +] +) +condition (MLText +uid 297,0 +va (VaSet +) +xt "114057,21175,123757,22375" +st "button = \"0001\"" +tm "Condition" +) +actions (MLText +uid 298,0 +va (VaSet +) +xt "118907,22775,118907,22775" +tm "Actions" +) +) +tp (TransitionPriority +uid 299,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 300,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80331,18292,82457,20418" +radius 1063 +) +pr (Text +uid 301,0 +va (VaSet +) +xt "80694,18755,82094,19955" +st "3" +ju 0 +blo "81394,19755" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 346,0 +shape (Spline +uid 347,0 +va (VaSet +vasetType 3 +) +xt "120081,41630,120705,48021" +pts [ +"120081,41630" +"120705,48021" +] +arrow 1 +) +start &21 +end &22 +cond "sensor1 = '1'" +tb (TransitionBlock +uid 348,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 349,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "119893,44326,128993,46526" +) +autoResize 1 +lineShape (Line +uid 350,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "123043,46426,123043,46426" +pts [ +"123043,46426" +"123043,46426" +] +) +condition (MLText +uid 351,0 +va (VaSet +) +xt "120393,44826,128493,46026" +st "sensor1 = '1'" +tm "Condition" +) +actions (MLText +uid 352,0 +va (VaSet +) +xt "124443,46426,124443,46426" +tm "Actions" +) +) +tp (TransitionPriority +uid 353,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 354,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "119080,41206,121206,43332" +radius 1063 +) +pr (Text +uid 355,0 +va (VaSet +isHidden 1 +) +xt "119443,41669,120843,42869" +st "1" +ju 0 +blo "120143,42669" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 356,0 +shape (Spline +uid 357,0 +va (VaSet +vasetType 3 +) +xt "75908,5305,143678,50697" +pts [ +"124057,50697" +"142872,45913" +"135072,9891" +"89490,6450" +"75908,16107" +] +arrow 1 +) +start &22 +end &2 +ss 0 +es 0 +tb (TransitionBlock +uid 358,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 359,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "134572,9986,140872,10996" +) +autoResize 1 +lineShape (Line +uid 360,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "137722,11491,137722,11491" +pts [ +"137722,11491" +"137722,11491" +] +) +condition (MLText +uid 361,0 +va (VaSet +) +xt "135072,9891,140372,11091" +tm "Condition" +) +actions (MLText +uid 362,0 +va (VaSet +) +xt "137722,11491,137722,11491" +tm "Actions" +) +) +tp (TransitionPriority +uid 363,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 364,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "135227,49238,137353,51364" +radius 1063 +) +pr (Text +uid 365,0 +va (VaSet +isHidden 1 +) +xt "135590,49701,136990,50901" +st "1" +ju 0 +blo "136290,50701" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 434,0 +shape (Spline +uid 435,0 +va (VaSet +vasetType 3 +) +xt "27276,44141,35779,63066" +pts [ +"34230,44141" +"27277,58008" +"35779,63066" +] +arrow 1 +) +start &20 +end &23 +es 0 +cond "Position <= \"0101010101110000\"" +tb (TransitionBlock +uid 436,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 437,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8414,56812,29814,59012" +) +autoResize 1 +lineShape (Line +uid 438,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11564,58912,11564,58912" +pts [ +"11564,58912" +"11564,58912" +] +) +condition (MLText +uid 439,0 +va (VaSet +) +xt "8914,57312,29314,58512" +st "Position <= \"0101010101110000\"" +tm "Condition" +) +actions (MLText +uid 440,0 +va (VaSet +) +xt "19114,58912,19114,58912" +tm "Actions" +) +) +tp (TransitionPriority +uid 441,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "31843,45312,33969,47438" +radius 1063 +) +pr (Text +uid 443,0 +va (VaSet +) +xt "32206,45775,33606,46975" +st "1" +ju 0 +blo "32906,46775" +tm "TransitionPriority" +) +padding "100,100" +) +) +*74 (Transition +uid 444,0 +shape (Spline +uid 445,0 +va (VaSet +vasetType 3 +) +xt "38712,43834,73092,64501" +pts [ +"39258,43834" +"42051,55903" +"73092,64501" +] +arrow 1 +) +start &20 +end &24 +es 0 +cond "Position > \"0101010101110000\"" +tb (TransitionBlock +uid 446,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 447,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33922,53931,54622,56131" +) +autoResize 1 +lineShape (Line +uid 448,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "37072,56031,37072,56031" +pts [ +"37072,56031" +"37072,56031" +] +) +condition (MLText +uid 449,0 +va (VaSet +) +xt "34422,54431,54122,55631" +st "Position > \"0101010101110000\"" +tm "Condition" +) +actions (MLText +uid 450,0 +va (VaSet +) +xt "44272,56031,44272,56031" +tm "Actions" +) +) +tp (TransitionPriority +uid 451,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 452,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "37714,47292,39840,49418" +radius 1063 +) +pr (Text +uid 453,0 +va (VaSet +) +xt "38077,47755,39477,48955" +st "2" +ju 0 +blo "38777,48755" +tm "TransitionPriority" +) +padding "100,100" +) +) +*75 (Transition +uid 1140,0 +shape (Spline +uid 1141,0 +va (VaSet +vasetType 3 +) +xt "42324,82013,50683,86922" +pts [ +"42324,82013" +"50683,86922" +] +arrow 1 +) +start &25 +end &26 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1142,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1143,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43556,82071,66356,84271" +) +autoResize 1 +lineShape (Line +uid 1144,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46706,84171,46706,84171" +pts [ +"46706,84171" +"46706,84171" +] +) +condition (MLText +uid 1145,0 +va (VaSet +) +xt "44056,82571,65856,83771" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1146,0 +va (VaSet +) +xt "54956,84171,54956,84171" +tm "Actions" +) +) +tp (TransitionPriority +uid 1147,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1148,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42096,81440,44222,83566" +radius 1063 +) +pr (Text +uid 1149,0 +va (VaSet +isHidden 1 +) +xt "42459,81903,43859,83103" +st "1" +ju 0 +blo "43159,82903" +tm "TransitionPriority" +) +padding "100,100" +) +) +*76 (Transition +uid 1150,0 +shape (Spline +uid 1151,0 +va (VaSet +vasetType 3 +) +xt "40376,92231,50813,97964" +pts [ +"50813,92231" +"40376,97964" +] +arrow 1 +) +start &26 +end &27 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1152,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1153,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44054,94353,66854,96553" +) +autoResize 1 +lineShape (Line +uid 1154,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47204,96453,47204,96453" +pts [ +"47204,96453" +"47204,96453" +] +) +condition (MLText +uid 1155,0 +va (VaSet +) +xt "44554,94853,66354,96053" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1156,0 +va (VaSet +) +xt "55454,96453,55454,96453" +tm "Actions" +) +) +tp (TransitionPriority +uid 1157,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1158,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48706,91740,50832,93866" +radius 1063 +) +pr (Text +uid 1159,0 +va (VaSet +isHidden 1 +) +xt "49069,92203,50469,93403" +st "1" +ju 0 +blo "49769,93203" +tm "TransitionPriority" +) +padding "100,100" +) +) +*77 (Transition +uid 1160,0 +shape (Spline +uid 1161,0 +va (VaSet +vasetType 3 +) +xt "40593,103088,50684,107570" +pts [ +"40593,103088" +"50684,107570" +] +arrow 1 +) +start &27 +end &28 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1162,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1163,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "45139,104829,67939,107029" +) +autoResize 1 +lineShape (Line +uid 1164,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "48289,106929,48289,106929" +pts [ +"48289,106929" +"48289,106929" +] +) +condition (MLText +uid 1165,0 +va (VaSet +) +xt "45639,105329,67439,106529" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1166,0 +va (VaSet +) +xt "56539,106929,56539,106929" +tm "Actions" +) +) +tp (TransitionPriority +uid 1167,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1168,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40539,102473,42665,104599" +radius 1063 +) +pr (Text +uid 1169,0 +va (VaSet +isHidden 1 +) +xt "40902,102936,42302,104136" +st "1" +ju 0 +blo "41602,103936" +tm "TransitionPriority" +) +padding "100,100" +) +) +*78 (Transition +uid 1170,0 +shape (Spline +uid 1171,0 +va (VaSet +vasetType 3 +) +xt "39366,112368,50735,117704" +pts [ +"50735,112368" +"39366,117704" +] +arrow 1 +) +start &28 +end &29 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1173,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44550,114536,67350,116736" +) +autoResize 1 +lineShape (Line +uid 1174,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47700,116636,47700,116636" +pts [ +"47700,116636" +"47700,116636" +] +) +condition (MLText +uid 1175,0 +va (VaSet +) +xt "45050,115036,66850,116236" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1176,0 +va (VaSet +) +xt "55950,116636,55950,116636" +tm "Actions" +) +) +tp (TransitionPriority +uid 1177,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1178,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48535,111838,50661,113964" +radius 1063 +) +pr (Text +uid 1179,0 +va (VaSet +isHidden 1 +) +xt "48898,112301,50298,113501" +st "1" +ju 0 +blo "49598,113301" +tm "TransitionPriority" +) +padding "100,100" +) +) +*79 (Transition +uid 1180,0 +shape (Spline +uid 1181,0 +va (VaSet +vasetType 3 +) +xt "39401,122533,49774,127214" +pts [ +"39401,122533" +"49774,127214" +] +arrow 1 +) +start &29 +end &30 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1182,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1183,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44088,124374,66888,126574" +) +autoResize 1 +lineShape (Line +uid 1184,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47238,126474,47238,126474" +pts [ +"47238,126474" +"47238,126474" +] +) +condition (MLText +uid 1185,0 +va (VaSet +) +xt "44588,124874,66388,126074" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1186,0 +va (VaSet +) +xt "55488,126474,55488,126474" +tm "Actions" +) +) +tp (TransitionPriority +uid 1187,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1188,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39375,121938,41501,124064" +radius 1063 +) +pr (Text +uid 1189,0 +va (VaSet +isHidden 1 +) +xt "39738,122401,41138,123601" +st "1" +ju 0 +blo "40438,123401" +tm "TransitionPriority" +) +padding "100,100" +) +) +*80 (Transition +uid 1190,0 +shape (Spline +uid 1191,0 +va (VaSet +vasetType 3 +) +xt "38518,132213,49892,138012" +pts [ +"49892,132213" +"38518,138012" +] +arrow 1 +) +start &30 +end &31 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1192,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1193,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43705,134613,66505,136813" +) +autoResize 1 +lineShape (Line +uid 1194,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46855,136713,46855,136713" +pts [ +"46855,136713" +"46855,136713" +] +) +condition (MLText +uid 1195,0 +va (VaSet +) +xt "44205,135113,66005,136313" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1196,0 +va (VaSet +) +xt "55105,136713,55105,136713" +tm "Actions" +) +) +tp (TransitionPriority +uid 1197,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1198,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47692,131729,49818,133855" +radius 1063 +) +pr (Text +uid 1199,0 +va (VaSet +isHidden 1 +) +xt "48055,132192,49455,133392" +st "1" +ju 0 +blo "48755,133192" +tm "TransitionPriority" +) +padding "100,100" +) +) +*81 (Transition +uid 1200,0 +shape (Spline +uid 1201,0 +va (VaSet +vasetType 3 +) +xt "38663,142950,49671,147766" +pts [ +"38663,142950" +"49671,147766" +] +arrow 1 +) +start &31 +end &32 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1203,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43667,144858,66467,147058" +) +autoResize 1 +lineShape (Line +uid 1204,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46817,146958,46817,146958" +pts [ +"46817,146958" +"46817,146958" +] +) +condition (MLText +uid 1205,0 +va (VaSet +) +xt "44167,145358,65967,146558" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1206,0 +va (VaSet +) +xt "55067,146958,55067,146958" +tm "Actions" +) +) +tp (TransitionPriority +uid 1207,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1208,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38700,142368,40826,144494" +radius 1063 +) +pr (Text +uid 1209,0 +va (VaSet +isHidden 1 +) +xt "39063,142831,40463,144031" +st "1" +ju 0 +blo "39763,143831" +tm "TransitionPriority" +) +padding "100,100" +) +) +*82 (Transition +uid 1210,0 +shape (Spline +uid 1211,0 +va (VaSet +vasetType 3 +) +xt "38045,152240,49605,156902" +pts [ +"49605,152240" +"38045,156902" +] +arrow 1 +) +start &32 +end &33 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1212,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1213,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43325,154071,66125,156271" +) +autoResize 1 +lineShape (Line +uid 1214,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46475,156171,46475,156171" +pts [ +"46475,156171" +"46475,156171" +] +) +condition (MLText +uid 1215,0 +va (VaSet +) +xt "43825,154571,65625,155771" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1216,0 +va (VaSet +) +xt "54725,156171,54725,156171" +tm "Actions" +) +) +tp (TransitionPriority +uid 1217,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1218,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47386,151643,49512,153769" +radius 1063 +) +pr (Text +uid 1219,0 +va (VaSet +isHidden 1 +) +xt "47749,152106,49149,153306" +st "1" +ju 0 +blo "48449,153106" +tm "TransitionPriority" +) +padding "100,100" +) +) +*83 (Transition +uid 1220,0 +shape (Spline +uid 1221,0 +va (VaSet +vasetType 3 +) +xt "27089,164617,42893,178747" +pts [ +"31108,164617" +"27549,177125" +"42893,178747" +] +arrow 1 +) +start &33 +end &34 +ss 0 +es 0 +cond "Position >= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1222,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1223,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "17306,171294,40106,173494" +) +autoResize 1 +lineShape (Line +uid 1224,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "20456,173394,20456,173394" +pts [ +"20456,173394" +"20456,173394" +] +) +condition (MLText +uid 1225,0 +va (VaSet +) +xt "17806,171794,39606,172994" +st "Position >= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1226,0 +va (VaSet +) +xt "28706,173394,28706,173394" +tm "Actions" +) +) +tp (TransitionPriority +uid 1227,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1228,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "28885,166216,31011,168342" +radius 1063 +) +pr (Text +uid 1229,0 +va (VaSet +isHidden 1 +) +xt "29248,166679,30648,167879" +st "1" +ju 0 +blo "29948,167679" +tm "TransitionPriority" +) +padding "100,100" +) +) +*84 (Transition +uid 1259,0 +shape (Spline +uid 1260,0 +va (VaSet +vasetType 3 +) +xt "37395,68399,38351,75404" +pts [ +"38351,68399" +"37395,75404" +] +arrow 1 +) +start &23 +end &25 +ss 0 +es 0 +tb (TransitionBlock +uid 1261,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1262,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "37373,71997,43673,73007" +) +autoResize 1 +lineShape (Line +uid 1263,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40523,73502,40523,73502" +pts [ +"40523,73502" +"40523,73502" +] +) +condition (MLText +uid 1264,0 +va (VaSet +) +xt "37873,71902,43173,73102" +tm "Condition" +) +actions (MLText +uid 1265,0 +va (VaSet +) +xt "40523,73502,40523,73502" +tm "Actions" +) +) +tp (TransitionPriority +uid 1266,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1267,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "37192,68035,39318,70161" +radius 1063 +) +pr (Text +uid 1268,0 +va (VaSet +isHidden 1 +) +xt "37555,68498,38955,69698" +st "1" +ju 0 +blo "38255,69498" +tm "TransitionPriority" +) +padding "100,100" +) +) +*85 (Transition +uid 1439,0 +shape (Spline +uid 1440,0 +va (VaSet +vasetType 3 +) +xt "87880,151581,99440,156243" +pts [ +"99440,151581" +"87880,156243" +] +arrow 1 +) +start &42 +end &43 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1441,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93160,153412,115960,155612" +) +autoResize 1 +lineShape (Line +uid 1443,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96310,155512,96310,155512" +pts [ +"96310,155512" +"96310,155512" +] +) +condition (MLText +uid 1444,0 +va (VaSet +) +xt "93660,153912,115460,155112" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1445,0 +va (VaSet +) +xt "104560,155512,104560,155512" +tm "Actions" +) +) +tp (TransitionPriority +uid 1446,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1447,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "97221,150984,99347,153110" +radius 1063 +) +pr (Text +uid 1448,0 +va (VaSet +isHidden 1 +) +xt "97584,151447,98984,152647" +st "1" +ju 0 +blo "98284,152447" +tm "TransitionPriority" +) +padding "100,100" +) +) +*86 (Transition +uid 1449,0 +shape (Spline +uid 1450,0 +va (VaSet +vasetType 3 +) +xt "82271,164173,99629,187961" +pts [ +"82776,164173" +"83799,187419" +"99629,180638" +] +arrow 1 +) +start &43 +end &44 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1451,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1452,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74476,174603,97276,176803" +) +autoResize 1 +lineShape (Line +uid 1453,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "77626,176703,77626,176703" +pts [ +"77626,176703" +"77626,176703" +] +) +condition (MLText +uid 1454,0 +va (VaSet +) +xt "74976,175103,96776,176303" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1455,0 +va (VaSet +) +xt "85876,176703,85876,176703" +tm "Actions" +) +) +tp (TransitionPriority +uid 1456,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1457,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "81588,167245,83714,169371" +radius 1063 +) +pr (Text +uid 1458,0 +va (VaSet +isHidden 1 +) +xt "81951,167708,83351,168908" +st "1" +ju 0 +blo "82651,168708" +tm "TransitionPriority" +) +padding "100,100" +) +) +*87 (Transition +uid 1459,0 +shape (Spline +uid 1460,0 +va (VaSet +vasetType 3 +) +xt "90428,102429,100519,106911" +pts [ +"90428,102429" +"100519,106911" +] +arrow 1 +) +start &37 +end &38 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1461,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1462,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94974,104170,117774,106370" +) +autoResize 1 +lineShape (Line +uid 1463,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "98124,106270,98124,106270" +pts [ +"98124,106270" +"98124,106270" +] +) +condition (MLText +uid 1464,0 +va (VaSet +) +xt "95474,104670,117274,105870" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1465,0 +va (VaSet +) +xt "106374,106270,106374,106270" +tm "Actions" +) +) +tp (TransitionPriority +uid 1466,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1467,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "90374,101814,92500,103940" +radius 1063 +) +pr (Text +uid 1468,0 +va (VaSet +isHidden 1 +) +xt "90737,102277,92137,103477" +st "1" +ju 0 +blo "91437,103277" +tm "TransitionPriority" +) +padding "100,100" +) +) +*88 (Transition +uid 1469,0 +shape (Spline +uid 1470,0 +va (VaSet +vasetType 3 +) +xt "89236,121874,99609,126555" +pts [ +"89236,121874" +"99609,126555" +] +arrow 1 +) +start &39 +end &40 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1471,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1472,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93923,123715,116723,125915" +) +autoResize 1 +lineShape (Line +uid 1473,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97073,125815,97073,125815" +pts [ +"97073,125815" +"97073,125815" +] +) +condition (MLText +uid 1474,0 +va (VaSet +) +xt "94423,124215,116223,125415" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1475,0 +va (VaSet +) +xt "105323,125815,105323,125815" +tm "Actions" +) +) +tp (TransitionPriority +uid 1476,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1477,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "89210,121279,91336,123405" +radius 1063 +) +pr (Text +uid 1478,0 +va (VaSet +isHidden 1 +) +xt "89573,121742,90973,122942" +st "1" +ju 0 +blo "90273,122742" +tm "TransitionPriority" +) +padding "100,100" +) +) +*89 (Transition +uid 1479,0 +shape (Spline +uid 1480,0 +va (VaSet +vasetType 3 +) +xt "88353,131554,99727,137353" +pts [ +"99727,131554" +"88353,137353" +] +arrow 1 +) +start &40 +end &41 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1481,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1482,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93540,133954,116340,136154" +) +autoResize 1 +lineShape (Line +uid 1483,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96690,136054,96690,136054" +pts [ +"96690,136054" +"96690,136054" +] +) +condition (MLText +uid 1484,0 +va (VaSet +) +xt "94040,134454,115840,135654" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1485,0 +va (VaSet +) +xt "104940,136054,104940,136054" +tm "Actions" +) +) +tp (TransitionPriority +uid 1486,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1487,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "97527,131070,99653,133196" +radius 1063 +) +pr (Text +uid 1488,0 +va (VaSet +isHidden 1 +) +xt "97890,131533,99290,132733" +st "1" +ju 0 +blo "98590,132533" +tm "TransitionPriority" +) +padding "100,100" +) +) +*90 (Transition +uid 1489,0 +shape (Spline +uid 1490,0 +va (VaSet +vasetType 3 +) +xt "89201,111709,100570,117045" +pts [ +"100570,111709" +"89201,117045" +] +arrow 1 +) +start &38 +end &39 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1491,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94385,113877,117185,116077" +) +autoResize 1 +lineShape (Line +uid 1493,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97535,115977,97535,115977" +pts [ +"97535,115977" +"97535,115977" +] +) +condition (MLText +uid 1494,0 +va (VaSet +) +xt "94885,114377,116685,115577" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1495,0 +va (VaSet +) +xt "105785,115977,105785,115977" +tm "Actions" +) +) +tp (TransitionPriority +uid 1496,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1497,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98370,111179,100496,113305" +radius 1063 +) +pr (Text +uid 1498,0 +va (VaSet +isHidden 1 +) +xt "98733,111642,100133,112842" +st "1" +ju 0 +blo "99433,112642" +tm "TransitionPriority" +) +padding "100,100" +) +) +*91 (Transition +uid 1499,0 +shape (Spline +uid 1500,0 +va (VaSet +vasetType 3 +) +xt "92159,81354,100518,86263" +pts [ +"92159,81354" +"100518,86263" +] +arrow 1 +) +start &35 +end &36 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1501,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1502,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93391,81412,116191,83612" +) +autoResize 1 +lineShape (Line +uid 1503,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96541,83512,96541,83512" +pts [ +"96541,83512" +"96541,83512" +] +) +condition (MLText +uid 1504,0 +va (VaSet +) +xt "93891,81912,115691,83112" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1505,0 +va (VaSet +) +xt "104791,83512,104791,83512" +tm "Actions" +) +) +tp (TransitionPriority +uid 1506,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1507,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "91931,80781,94057,82907" +radius 1063 +) +pr (Text +uid 1508,0 +va (VaSet +isHidden 1 +) +xt "92294,81244,93694,82444" +st "1" +ju 0 +blo "92994,82244" +tm "TransitionPriority" +) +padding "100,100" +) +) +*92 (Transition +uid 1509,0 +shape (Spline +uid 1510,0 +va (VaSet +vasetType 3 +) +xt "90211,91572,100648,97305" +pts [ +"100648,91572" +"90211,97305" +] +arrow 1 +) +start &36 +end &37 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1511,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1512,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93889,93694,116689,95894" +) +autoResize 1 +lineShape (Line +uid 1513,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97039,95794,97039,95794" +pts [ +"97039,95794" +"97039,95794" +] +) +condition (MLText +uid 1514,0 +va (VaSet +) +xt "94389,94194,116189,95394" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1515,0 +va (VaSet +) +xt "105289,95794,105289,95794" +tm "Actions" +) +) +tp (TransitionPriority +uid 1516,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1517,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98541,91081,100667,93207" +radius 1063 +) +pr (Text +uid 1518,0 +va (VaSet +isHidden 1 +) +xt "98904,91544,100304,92744" +st "1" +ju 0 +blo "99604,92544" +tm "TransitionPriority" +) +padding "100,100" +) +) +*93 (Transition +uid 1519,0 +shape (Spline +uid 1520,0 +va (VaSet +vasetType 3 +) +xt "88498,142291,99506,147107" +pts [ +"88498,142291" +"99506,147107" +] +arrow 1 +) +start &41 +end &42 +ss 0 +es 0 +cond "Position <= CurrentPos + \"00000000\"" +tb (TransitionBlock +uid 1521,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1522,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93502,144199,116302,146399" +) +autoResize 1 +lineShape (Line +uid 1523,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96652,146299,96652,146299" +pts [ +"96652,146299" +"96652,146299" +] +) +condition (MLText +uid 1524,0 +va (VaSet +) +xt "94002,144699,115802,145899" +st "Position <= CurrentPos + \"00000000\"" +tm "Condition" +) +actions (MLText +uid 1525,0 +va (VaSet +) +xt "104902,146299,104902,146299" +tm "Actions" +) +) +tp (TransitionPriority +uid 1526,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1527,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "88535,141709,90661,143835" +radius 1063 +) +pr (Text +uid 1528,0 +va (VaSet +isHidden 1 +) +xt "88898,142172,90298,143372" +st "1" +ju 0 +blo "89598,143172" +tm "TransitionPriority" +) +padding "100,100" +) +) +*94 (Transition +uid 1529,0 +shape (Spline +uid 1530,0 +va (VaSet +vasetType 3 +) +xt "79073,67909,83526,75488" +pts [ +"79073,67909" +"83526,75488" +] +arrow 1 +) +start &24 +end &35 +es 0 +tb (TransitionBlock +uid 1531,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1532,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80800,71794,87100,72804" +) +autoResize 1 +lineShape (Line +uid 1533,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "83950,73299,83950,73299" +pts [ +"83950,73299" +"83950,73299" +] +) +condition (MLText +uid 1534,0 +va (VaSet +) +xt "81300,71699,86600,72899" +tm "Condition" +) +actions (MLText +uid 1535,0 +va (VaSet +) +xt "83950,73299,83950,73299" +tm "Actions" +) +) +tp (TransitionPriority +uid 1536,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1537,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78455,67603,80581,69729" +radius 1063 +) +pr (Text +uid 1538,0 +va (VaSet +isHidden 1 +) +xt "78818,68066,80218,69266" +st "1" +ju 0 +blo "79518,69066" +tm "TransitionPriority" +) +padding "100,100" +) +) +*95 (Transition +uid 1539,0 +shape (Spline +uid 1540,0 +va (VaSet +vasetType 3 +) +xt "42353,45169,73419,64838" +pts [ +"73419,45169" +"53841,62992" +"42353,64438" +] +arrow 1 +) +start &19 +end &23 +es 0 +cond "Position <= \"1000000000101000\"" +tb (TransitionBlock +uid 1541,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1542,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "55940,50638,77340,52838" +) +autoResize 1 +lineShape (Line +uid 1543,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "59090,52738,59090,52738" +pts [ +"59090,52738" +"59090,52738" +] +) +condition (MLText +uid 1544,0 +va (VaSet +) +xt "56440,51138,76840,52338" +st "Position <= \"1000000000101000\"" +tm "Condition" +) +actions (MLText +uid 1545,0 +va (VaSet +) +xt "66640,52738,66640,52738" +tm "Actions" +) +) +tp (TransitionPriority +uid 1546,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1547,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "69590,46765,71716,48891" +radius 1063 +) +pr (Text +uid 1548,0 +va (VaSet +) +xt "69953,47228,71353,48428" +st "1" +ju 0 +blo "70653,48228" +tm "TransitionPriority" +) +padding "100,100" +) +) +*96 (Transition +uid 1549,0 +shape (Spline +uid 1550,0 +va (VaSet +vasetType 3 +) +xt "75543,44559,81022,62165" +pts [ +"75543,44559" +"80991,54579" +"77866,62165" +] +arrow 1 +) +start &19 +end &24 +ss 0 +es 0 +cond "Position > \"1000000000101000\"" +tb (TransitionBlock +uid 1551,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1552,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80491,54079,101191,56279" +) +autoResize 1 +lineShape (Line +uid 1553,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "83641,56179,83641,56179" +pts [ +"83641,56179" +"83641,56179" +] +) +condition (MLText +uid 1554,0 +va (VaSet +) +xt "80991,54579,100691,55779" +st "Position > \"1000000000101000\"" +tm "Condition" +) +actions (MLText +uid 1555,0 +va (VaSet +) +xt "90841,56179,90841,56179" +tm "Actions" +) +) +tp (TransitionPriority +uid 1556,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1557,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "75539,45172,77665,47298" +radius 1063 +) +pr (Text +uid 1558,0 +va (VaSet +) +xt "75902,45635,77302,46835" +st "2" +ju 0 +blo "76602,46635" +tm "TransitionPriority" +) +padding "100,100" +) +) +*97 (Transition +uid 1739,0 +shape (Spline +uid 1740,0 +va (VaSet +vasetType 3 +) +xt "32176,270547,43736,275209" +pts [ +"43736,270547" +"32176,275209" +] +arrow 1 +) +start &52 +end &53 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1741,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1742,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29133,272990,53067,275190" +) +autoResize 1 +lineShape (Line +uid 1743,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25983,275090,-25983,275090" +pts [ +"-25983,275090" +"-25983,275090" +] +) +condition (MLText +uid 1744,0 +va (VaSet +) +xt "-28633,273490,52567,274690" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1745,0 +va (VaSet +) +xt "11967,275090,11967,275090" +tm "Actions" +) +) +tp (TransitionPriority +uid 1746,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1747,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "41517,269950,43643,272076" +radius 1063 +) +pr (Text +uid 1748,0 +va (VaSet +isHidden 1 +) +xt "41880,270413,43280,271613" +st "1" +ju 0 +blo "42580,271413" +tm "TransitionPriority" +) +padding "100,100" +) +) +*98 (Transition +uid 1749,0 +shape (Spline +uid 1750,0 +va (VaSet +vasetType 3 +) +xt "31901,280113,76706,304313" +pts [ +"31901,280113" +"76706,304313" +] +arrow 1 +) +start &53 +end &54 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1751,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1752,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2173,293431,64627,295631" +) +autoResize 1 +lineShape (Line +uid 1753,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "977,295531,977,295531" +pts [ +"977,295531" +"977,295531" +] +) +condition (MLText +uid 1754,0 +va (VaSet +) +xt "-1673,293931,64127,295131" +st "(Position >= \"0101010101110000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1755,0 +va (VaSet +) +xt "31227,295531,31227,295531" +tm "Actions" +) +) +tp (TransitionPriority +uid 1756,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1757,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35318,281469,37444,283595" +radius 1063 +) +pr (Text +uid 1758,0 +va (VaSet +isHidden 1 +) +xt "35681,281932,37081,283132" +st "1" +ju 0 +blo "36381,282932" +tm "TransitionPriority" +) +padding "100,100" +) +) +*99 (Transition +uid 1759,0 +shape (Spline +uid 1760,0 +va (VaSet +vasetType 3 +) +xt "34724,221395,44815,225877" +pts [ +"34724,221395" +"44815,225877" +] +arrow 1 +) +start &47 +end &48 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1761,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1762,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29577,224383,52623,226583" +) +autoResize 1 +lineShape (Line +uid 1763,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26427,226483,-26427,226483" +pts [ +"-26427,226483" +"-26427,226483" +] +) +condition (MLText +uid 1764,0 +va (VaSet +) +xt "-29077,224883,52123,226083" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1765,0 +va (VaSet +) +xt "11523,226483,11523,226483" +tm "Actions" +) +) +tp (TransitionPriority +uid 1766,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1767,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "34670,220780,36796,222906" +radius 1063 +) +pr (Text +uid 1768,0 +va (VaSet +isHidden 1 +) +xt "35033,221243,36433,222443" +st "1" +ju 0 +blo "35733,222243" +tm "TransitionPriority" +) +padding "100,100" +) +) +*100 (Transition +uid 1769,0 +shape (Spline +uid 1770,0 +va (VaSet +vasetType 3 +) +xt "33532,240840,43905,245521" +pts [ +"33532,240840" +"43905,245521" +] +arrow 1 +) +start &49 +end &50 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1771,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1772,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29687,244493,52513,246693" +) +autoResize 1 +lineShape (Line +uid 1773,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26537,246593,-26537,246593" +pts [ +"-26537,246593" +"-26537,246593" +] +) +condition (MLText +uid 1774,0 +va (VaSet +) +xt "-29187,244993,52013,246193" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1775,0 +va (VaSet +) +xt "11413,246593,11413,246593" +tm "Actions" +) +) +tp (TransitionPriority +uid 1776,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1777,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33506,240245,35632,242371" +radius 1063 +) +pr (Text +uid 1778,0 +va (VaSet +isHidden 1 +) +xt "33869,240708,35269,241908" +st "1" +ju 0 +blo "34569,241708" +tm "TransitionPriority" +) +padding "100,100" +) +) +*101 (Transition +uid 1779,0 +shape (Spline +uid 1780,0 +va (VaSet +vasetType 3 +) +xt "32649,250520,44023,256319" +pts [ +"44023,250520" +"32649,256319" +] +arrow 1 +) +start &50 +end &51 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1781,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1782,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29270,252684,52930,254884" +) +autoResize 1 +lineShape (Line +uid 1783,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26120,254784,-26120,254784" +pts [ +"-26120,254784" +"-26120,254784" +] +) +condition (MLText +uid 1784,0 +va (VaSet +) +xt "-28770,253184,52430,254384" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1785,0 +va (VaSet +) +xt "11830,254784,11830,254784" +tm "Actions" +) +) +tp (TransitionPriority +uid 1786,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1787,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "41823,250036,43949,252162" +radius 1063 +) +pr (Text +uid 1788,0 +va (VaSet +isHidden 1 +) +xt "42186,250499,43586,251699" +st "1" +ju 0 +blo "42886,251499" +tm "TransitionPriority" +) +padding "100,100" +) +) +*102 (Transition +uid 1789,0 +shape (Spline +uid 1790,0 +va (VaSet +vasetType 3 +) +xt "33497,230675,44866,236011" +pts [ +"44866,230675" +"33497,236011" +] +arrow 1 +) +start &48 +end &49 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1791,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1792,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29437,233313,52763,235513" +) +autoResize 1 +lineShape (Line +uid 1793,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26287,235413,-26287,235413" +pts [ +"-26287,235413" +"-26287,235413" +] +) +condition (MLText +uid 1794,0 +va (VaSet +) +xt "-28937,233813,52263,235013" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1795,0 +va (VaSet +) +xt "11663,235413,11663,235413" +tm "Actions" +) +) +tp (TransitionPriority +uid 1796,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1797,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42666,230145,44792,232271" +radius 1063 +) +pr (Text +uid 1798,0 +va (VaSet +isHidden 1 +) +xt "43029,230608,44429,231808" +st "1" +ju 0 +blo "43729,231608" +tm "TransitionPriority" +) +padding "100,100" +) +) +*103 (Transition +uid 1799,0 +shape (Spline +uid 1800,0 +va (VaSet +vasetType 3 +) +xt "36455,200320,44814,205229" +pts [ +"36455,200320" +"44814,205229" +] +arrow 1 +) +start &45 +end &46 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1801,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1802,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-28746,204476,53454,206676" +) +autoResize 1 +lineShape (Line +uid 1803,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25596,206576,-25596,206576" +pts [ +"-25596,206576" +"-25596,206576" +] +) +condition (MLText +uid 1804,0 +va (VaSet +) +xt "-28246,204976,52954,206176" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1805,0 +va (VaSet +) +xt "12354,206576,12354,206576" +tm "Actions" +) +) +tp (TransitionPriority +uid 1806,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1807,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "36227,199747,38353,201873" +radius 1063 +) +pr (Text +uid 1808,0 +va (VaSet +isHidden 1 +) +xt "36590,200210,37990,201410" +st "1" +ju 0 +blo "37290,201210" +tm "TransitionPriority" +) +padding "100,100" +) +) +*104 (Transition +uid 1809,0 +shape (Spline +uid 1810,0 +va (VaSet +vasetType 3 +) +xt "34507,210538,44944,216271" +pts [ +"44944,210538" +"34507,216271" +] +arrow 1 +) +start &46 +end &47 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1811,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1812,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-28686,212872,53514,215072" +) +autoResize 1 +lineShape (Line +uid 1813,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25536,214972,-25536,214972" +pts [ +"-25536,214972" +"-25536,214972" +] +) +condition (MLText +uid 1814,0 +va (VaSet +) +xt "-28186,213372,53014,214572" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1815,0 +va (VaSet +) +xt "12414,214972,12414,214972" +tm "Actions" +) +) +tp (TransitionPriority +uid 1816,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1817,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42837,210047,44963,212173" +radius 1063 +) +pr (Text +uid 1818,0 +va (VaSet +isHidden 1 +) +xt "43200,210510,44600,211710" +st "1" +ju 0 +blo "43900,211510" +tm "TransitionPriority" +) +padding "100,100" +) +) +*105 (Transition +uid 1819,0 +shape (Spline +uid 1820,0 +va (VaSet +vasetType 3 +) +xt "32794,261257,43802,266073" +pts [ +"32794,261257" +"43802,266073" +] +arrow 1 +) +start &51 +end &52 +ss 0 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1821,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1822,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29473,263706,52727,265906" +) +autoResize 1 +lineShape (Line +uid 1823,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26323,265806,-26323,265806" +pts [ +"-26323,265806" +"-26323,265806" +] +) +condition (MLText +uid 1824,0 +va (VaSet +) +xt "-28973,264206,52227,265406" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1825,0 +va (VaSet +) +xt "11627,265806,11627,265806" +tm "Actions" +) +) +tp (TransitionPriority +uid 1826,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1827,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "32831,260675,34957,262801" +radius 1063 +) +pr (Text +uid 1828,0 +va (VaSet +isHidden 1 +) +xt "33194,261138,34594,262338" +st "1" +ju 0 +blo "33894,262138" +tm "TransitionPriority" +) +padding "100,100" +) +) +*106 (Transition +uid 1829,0 +shape (Spline +uid 1830,0 +va (VaSet +vasetType 3 +) +xt "30832,185603,49628,193712" +pts [ +"48665,185603" +"48338,193262" +"32260,188471" +"31536,193712" +] +arrow 1 +) +start &34 +end &45 +es 0 +cond "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 1831,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1832,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-14353,184872,67847,187072" +) +autoResize 1 +lineShape (Line +uid 1833,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-11203,186972,-11203,186972" +pts [ +"-11203,186972" +"-11203,186972" +] +) +condition (MLText +uid 1834,0 +va (VaSet +) +xt "-13853,185372,67347,186572" +st "(Position >= \"0101010101110000\" - \"00000000\" AND button = \"0010\") OR (Position >= \"1000000000101000\" - \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1835,0 +va (VaSet +) +xt "26747,186972,26747,186972" +tm "Actions" +) +) +tp (TransitionPriority +uid 1836,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1837,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48239,187609,50365,189735" +radius 1063 +) +pr (Text +uid 1838,0 +va (VaSet +isHidden 1 +) +xt "48602,188072,50002,189272" +st "1" +ju 0 +blo "49302,189072" +tm "TransitionPriority" +) +padding "100,100" +) +) +*107 (Transition +uid 2055,0 +shape (Spline +uid 2056,0 +va (VaSet +vasetType 3 +) +xt "128862,273038,140422,277700" +pts [ +"140422,273038" +"128862,277700" +] +arrow 1 +) +start &62 +end &63 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2057,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2058,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67553,275481,150153,277681" +) +autoResize 1 +lineShape (Line +uid 2059,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70703,277581,70703,277581" +pts [ +"70703,277581" +"70703,277581" +] +) +condition (MLText +uid 2060,0 +va (VaSet +) +xt "68053,275981,149653,277181" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2061,0 +va (VaSet +) +xt "108853,277581,108853,277581" +tm "Actions" +) +) +tp (TransitionPriority +uid 2062,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2063,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "138203,272441,140329,274567" +radius 1063 +) +pr (Text +uid 2064,0 +va (VaSet +isHidden 1 +) +xt "138566,272904,139966,274104" +st "1" +ju 0 +blo "139266,273904" +tm "TransitionPriority" +) +padding "100,100" +) +) +*108 (Transition +uid 2065,0 +shape (Spline +uid 2066,0 +va (VaSet +vasetType 3 +) +xt "130183,233166,141552,238502" +pts [ +"141552,233166" +"130183,238502" +] +arrow 1 +) +start &58 +end &59 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2067,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2068,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67249,235804,149849,238004" +) +autoResize 1 +lineShape (Line +uid 2069,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70399,237904,70399,237904" +pts [ +"70399,237904" +"70399,237904" +] +) +condition (MLText +uid 2070,0 +va (VaSet +) +xt "67749,236304,149349,237504" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2071,0 +va (VaSet +) +xt "108549,237904,108549,237904" +tm "Actions" +) +) +tp (TransitionPriority +uid 2072,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2073,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139352,232636,141478,234762" +radius 1063 +) +pr (Text +uid 2074,0 +va (VaSet +isHidden 1 +) +xt "139715,233099,141115,234299" +st "1" +ju 0 +blo "140415,234099" +tm "TransitionPriority" +) +padding "100,100" +) +) +*109 (Transition +uid 2075,0 +shape (Spline +uid 2076,0 +va (VaSet +vasetType 3 +) +xt "131410,223886,141501,228368" +pts [ +"131410,223886" +"141501,228368" +] +arrow 1 +) +start &57 +end &58 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2077,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2078,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67109,226874,149709,229074" +) +autoResize 1 +lineShape (Line +uid 2079,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70259,228974,70259,228974" +pts [ +"70259,228974" +"70259,228974" +] +) +condition (MLText +uid 2080,0 +va (VaSet +) +xt "67609,227374,149209,228574" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2081,0 +va (VaSet +) +xt "108409,228974,108409,228974" +tm "Actions" +) +) +tp (TransitionPriority +uid 2082,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2083,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "131356,223271,133482,225397" +radius 1063 +) +pr (Text +uid 2084,0 +va (VaSet +isHidden 1 +) +xt "131719,223734,133119,224934" +st "1" +ju 0 +blo "132419,224734" +tm "TransitionPriority" +) +padding "100,100" +) +) +*110 (Transition +uid 2085,0 +shape (Spline +uid 2086,0 +va (VaSet +vasetType 3 +) +xt "87355,283052,118692,303831" +pts [ +"118692,283052" +"87355,303831" +] +arrow 1 +) +start &63 +end &54 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2087,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2088,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78163,294843,144963,297043" +) +autoResize 1 +lineShape (Line +uid 2089,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "81313,296943,81313,296943" +pts [ +"81313,296943" +"81313,296943" +] +) +condition (MLText +uid 2090,0 +va (VaSet +) +xt "78663,295343,144463,296543" +st "(Position <= \"0101010101110000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2091,0 +va (VaSet +) +xt "111563,296943,111563,296943" +tm "Actions" +) +) +tp (TransitionPriority +uid 2092,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2093,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "114495,284066,116621,286192" +radius 1063 +) +pr (Text +uid 2094,0 +va (VaSet +isHidden 1 +) +xt "114858,284529,116258,285729" +st "1" +ju 0 +blo "115558,285529" +tm "TransitionPriority" +) +padding "100,100" +) +) +*111 (Transition +uid 2095,0 +shape (Spline +uid 2096,0 +va (VaSet +vasetType 3 +) +xt "130218,243331,140591,248012" +pts [ +"130218,243331" +"140591,248012" +] +arrow 1 +) +start &59 +end &60 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2097,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2098,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "66999,246984,149599,249184" +) +autoResize 1 +lineShape (Line +uid 2099,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70149,249084,70149,249084" +pts [ +"70149,249084" +"70149,249084" +] +) +condition (MLText +uid 2100,0 +va (VaSet +) +xt "67499,247484,149099,248684" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2101,0 +va (VaSet +) +xt "108299,249084,108299,249084" +tm "Actions" +) +) +tp (TransitionPriority +uid 2102,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2103,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "130192,242736,132318,244862" +radius 1063 +) +pr (Text +uid 2104,0 +va (VaSet +isHidden 1 +) +xt "130555,243199,131955,244399" +st "1" +ju 0 +blo "131255,244199" +tm "TransitionPriority" +) +padding "100,100" +) +) +*112 (Transition +uid 2105,0 +shape (Spline +uid 2106,0 +va (VaSet +vasetType 3 +) +xt "129480,263748,140488,268564" +pts [ +"129480,263748" +"140488,268564" +] +arrow 1 +) +start &61 +end &62 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2107,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2108,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67213,266197,149813,268397" +) +autoResize 1 +lineShape (Line +uid 2109,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70363,268297,70363,268297" +pts [ +"70363,268297" +"70363,268297" +] +) +condition (MLText +uid 2110,0 +va (VaSet +) +xt "67713,266697,149313,267897" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2111,0 +va (VaSet +) +xt "108513,268297,108513,268297" +tm "Actions" +) +) +tp (TransitionPriority +uid 2112,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "129517,263166,131643,265292" +radius 1063 +) +pr (Text +uid 2114,0 +va (VaSet +isHidden 1 +) +xt "129880,263629,131280,264829" +st "1" +ju 0 +blo "130580,264629" +tm "TransitionPriority" +) +padding "100,100" +) +) +*113 (Transition +uid 2115,0 +shape (Spline +uid 2116,0 +va (VaSet +vasetType 3 +) +xt "131193,213029,141630,218762" +pts [ +"141630,213029" +"131193,218762" +] +arrow 1 +) +start &56 +end &57 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2117,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2118,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "68000,215363,150600,217563" +) +autoResize 1 +lineShape (Line +uid 2119,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "71150,217463,71150,217463" +pts [ +"71150,217463" +"71150,217463" +] +) +condition (MLText +uid 2120,0 +va (VaSet +) +xt "68500,215863,150100,217063" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2121,0 +va (VaSet +) +xt "109300,217463,109300,217463" +tm "Actions" +) +) +tp (TransitionPriority +uid 2122,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2123,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139523,212538,141649,214664" +radius 1063 +) +pr (Text +uid 2124,0 +va (VaSet +isHidden 1 +) +xt "139886,213001,141286,214201" +st "1" +ju 0 +blo "140586,214001" +tm "TransitionPriority" +) +padding "100,100" +) +) +*114 (Transition +uid 2125,0 +shape (Spline +uid 2126,0 +va (VaSet +vasetType 3 +) +xt "129335,253011,140709,258810" +pts [ +"140709,253011" +"129335,258810" +] +arrow 1 +) +start &60 +end &61 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2127,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2128,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67416,255175,150016,257375" +) +autoResize 1 +lineShape (Line +uid 2129,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70566,257275,70566,257275" +pts [ +"70566,257275" +"70566,257275" +] +) +condition (MLText +uid 2130,0 +va (VaSet +) +xt "67916,255675,149516,256875" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2131,0 +va (VaSet +) +xt "108716,257275,108716,257275" +tm "Actions" +) +) +tp (TransitionPriority +uid 2132,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2133,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "138509,252527,140635,254653" +radius 1063 +) +pr (Text +uid 2134,0 +va (VaSet +isHidden 1 +) +xt "138872,252990,140272,254190" +st "1" +ju 0 +blo "139572,253990" +tm "TransitionPriority" +) +padding "100,100" +) +) +*115 (Transition +uid 2135,0 +shape (Spline +uid 2136,0 +va (VaSet +vasetType 3 +) +xt "133141,202811,141500,207720" +pts [ +"133141,202811" +"141500,207720" +] +arrow 1 +) +start &55 +end &56 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2137,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2138,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67940,206967,150540,209167" +) +autoResize 1 +lineShape (Line +uid 2139,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "71090,209067,71090,209067" +pts [ +"71090,209067" +"71090,209067" +] +) +condition (MLText +uid 2140,0 +va (VaSet +) +xt "68440,207467,150040,208667" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2141,0 +va (VaSet +) +xt "109240,209067,109240,209067" +tm "Actions" +) +) +tp (TransitionPriority +uid 2142,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2143,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "132913,202238,135039,204364" +radius 1063 +) +pr (Text +uid 2144,0 +va (VaSet +isHidden 1 +) +xt "133276,202701,134676,203901" +st "1" +ju 0 +blo "133976,203701" +tm "TransitionPriority" +) +padding "100,100" +) +) +*116 (Transition +uid 2145,0 +shape (Spline +uid 2146,0 +va (VaSet +vasetType 3 +) +xt "111549,175796,130055,196162" +pts [ +"111549,177874" +"129080,176977" +"127813,196162" +] +arrow 1 +) +start &44 +end &55 +ss 0 +es 0 +cond "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tb (TransitionBlock +uid 2147,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2148,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "91639,187536,174239,189736" +) +autoResize 1 +lineShape (Line +uid 2149,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "94789,189636,94789,189636" +pts [ +"94789,189636" +"94789,189636" +] +) +condition (MLText +uid 2150,0 +va (VaSet +) +xt "92139,188036,173739,189236" +st "(Position <= \"0101010101110000\" + \"00000000\" AND button = \"0010\") OR (Position <= \"1000000000101000\" + \"00000000\" AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2151,0 +va (VaSet +) +xt "132939,189636,132939,189636" +tm "Actions" +) +) +tp (TransitionPriority +uid 2152,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2153,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "114182,176156,116308,178282" +radius 1063 +) +pr (Text +uid 2154,0 +va (VaSet +isHidden 1 +) +xt "114545,176619,115945,177819" +st "1" +ju 0 +blo "115245,177619" +tm "TransitionPriority" +) +padding "100,100" +) +) +*117 (Transition +uid 2160,0 +shape (Spline +uid 2161,0 +va (VaSet +vasetType 3 +) +xt "73451,-7346,209008,335321" +pts [ +"88367,307801" +"195013,317014" +"195932,33007" +"86005,-7066" +"74639,15007" +] +arrow 1 +) +start &54 +end &2 +ss 0 +es 0 +tb (TransitionBlock +uid 2162,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2163,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "195432,33102,201732,34112" +) +autoResize 1 +lineShape (Line +uid 2164,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "198582,34607,198582,34607" +pts [ +"198582,34607" +"198582,34607" +] +) +condition (MLText +uid 2165,0 +va (VaSet +) +xt "195932,33007,201232,34207" +tm "Condition" +) +actions (MLText +uid 2166,0 +va (VaSet +) +xt "198582,34607,198582,34607" +tm "Actions" +) +) +tp (TransitionPriority +uid 2167,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2168,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139237,328572,141363,330698" +radius 1063 +) +pr (Text +uid 2169,0 +va (VaSet +isHidden 1 +) +xt "139600,329035,141000,330235" +st "1" +ju 0 +blo "140300,330035" +tm "TransitionPriority" +) +padding "100,100" +) +) +*118 (Transition +uid 2176,0 +shape (Spline +uid 2177,0 +va (VaSet +vasetType 3 +) +xt "4539,25188,17747,25341" +pts [ +"4539,25188" +"17747,25341" +] +) +start &64 +end &65 +cond "((sensor1 = '1' OR sensor2 = '1') AND ( button /= \"0001\" )) OR button = \"1000\"" +tb (TransitionBlock +uid 2178,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-8227,27686,36373,29886" +) +autoResize 1 +lineShape (Line +uid 2180,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-5077,29786,-5077,29786" +pts [ +"-5077,29786" +"-5077,29786" +] +) +condition (MLText +uid 2181,0 +va (VaSet +) +xt "-7727,28186,35873,29386" +st "((sensor1 = '1' OR sensor2 = '1') AND ( button /= \"0001\" )) OR button = \"1000\"" +tm "Condition" +) +actions (MLText +uid 2182,0 +va (VaSet +) +xt "14073,29786,14073,29786" +tm "Actions" +) +) +tp (TransitionPriority +uid 2183,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2184,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "4796,24140,6922,26266" +radius 1063 +) +pr (Text +uid 2185,0 +va (VaSet +isHidden 1 +) +xt "5159,24603,6559,25803" +st "1" +ju 0 +blo "5859,25603" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *119 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*121 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*123 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*124 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*125 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*126 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*127 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*128 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "-16600,-15900,120204,75272" +cachedDiagramExtent "-29687,-7346,209008,335321" +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,-51000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *129 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*131 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*132 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*133 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*134 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*135 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *136 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*138 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*139 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*140 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*141 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *142 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*144 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*145 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*146 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*147 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *148 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *149 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,73400,5800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +Power OUT COMB +RaZ OUT COMB +SideL OUT COMB +testOut OUT COMB +unlock OUT COMB +CurrentPos LOCAL CLKD +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *150 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&21 +&20 +&22 +&23 +&24 +&25 +&26 +&27 +&28 +&29 +&30 +&31 +&32 +&33 +&34 +&35 +&36 +&37 +&38 +&39 +&40 +&41 +&42 +&43 +&44 +&45 +&46 +&47 +&48 +&49 +&50 +&51 +&52 +&53 +&54 +&55 +&56 +&57 +&58 +&59 +&60 +&61 +&62 +&63 +] +name "csm" +) +] +lastUid 2332,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *151 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*152 (RefLabelRowHdr +) +*153 (TitleRowHdr +) +*154 (FilterRowHdr +) +*155 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*156 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*157 (GroupColHdr +tm "GroupColHdrMgr" +) +*158 (NameColHdr +tm "SmNameColHdrMgr" +) +*159 (ModeColHdr +tm "SmModeColHdrMgr" +) +*160 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*161 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*162 (InitColHdr +tm "SmInitColHdrMgr" +) +*163 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*164 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*165 (ColumnHdr +tm "SmExprColHdrMgr" +) +*166 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*167 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*168 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*169 (EolColHdr +tm "SmEolColHdrMgr" +) +*170 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*171 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*172 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_uLogic" +o 3 +) +) +uid 160,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*173 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_uLogic" +o 4 +) +) +uid 162,0 +cat 8 +expr "rst = '0'" +) +*174 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +) +) +uid 164,0 +) +*175 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +) +) +uid 166,0 +) +*176 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +) +) +uid 168,0 +) +*177 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +) +) +uid 170,0 +scheme 0 +) +*178 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +) +) +uid 172,0 +scheme 0 +) +*179 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 10 +) +) +uid 174,0 +scheme 0 +) +*180 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 DOWNTO 0)" +o 11 +) +) +uid 176,0 +scheme 0 +) +*181 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +) +) +uid 178,0 +scheme 0 +) +*182 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "CurrentPos" +t "unsigned" +b "(15 DOWNTO 0)" +o 13 +) +) +uid 1247,0 +scheme 1 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 200,0 +optionalChildren [ +*183 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *184 (MRCItem +litem &151 +pos 13 +dimension 20 +) +uid 202,0 +optionalChildren [ +*185 (MRCItem +litem &152 +pos 0 +dimension 20 +uid 203,0 +) +*186 (MRCItem +litem &153 +pos 1 +dimension 23 +uid 204,0 +) +*187 (MRCItem +litem &154 +pos 2 +hidden 1 +dimension 20 +uid 205,0 +) +*188 (MRCItem +litem &170 +pos 0 +dimension 20 +uid 157,0 +) +*189 (MRCItem +litem &171 +pos 1 +dimension 20 +uid 159,0 +) +*190 (MRCItem +litem &172 +pos 2 +dimension 20 +uid 161,0 +) +*191 (MRCItem +litem &173 +pos 3 +dimension 20 +uid 163,0 +) +*192 (MRCItem +litem &174 +pos 4 +dimension 20 +uid 165,0 +) +*193 (MRCItem +litem &175 +pos 5 +dimension 20 +uid 167,0 +) +*194 (MRCItem +litem &176 +pos 6 +dimension 20 +uid 169,0 +) +*195 (MRCItem +litem &177 +pos 7 +dimension 20 +uid 171,0 +) +*196 (MRCItem +litem &178 +pos 8 +dimension 20 +uid 173,0 +) +*197 (MRCItem +litem &179 +pos 9 +dimension 20 +uid 175,0 +) +*198 (MRCItem +litem &180 +pos 10 +dimension 20 +uid 177,0 +) +*199 (MRCItem +litem &181 +pos 11 +dimension 20 +uid 179,0 +) +*200 (MRCItem +litem &182 +pos 12 +dimension 20 +uid 1248,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 206,0 +optionalChildren [ +*201 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 207,0 +) +*202 (MRCItem +litem &157 +pos 1 +dimension 40 +uid 208,0 +) +*203 (MRCItem +litem &158 +pos 2 +dimension 46 +uid 209,0 +) +*204 (MRCItem +litem &159 +pos 3 +dimension 40 +uid 210,0 +) +*205 (MRCItem +litem &160 +pos 4 +dimension 66 +uid 211,0 +) +*206 (MRCItem +litem &161 +pos 5 +dimension 45 +uid 212,0 +) +*207 (MRCItem +litem &162 +pos 6 +dimension 40 +uid 213,0 +) +*208 (MRCItem +litem &163 +pos 7 +dimension 100 +uid 214,0 +) +*209 (MRCItem +litem &164 +pos 8 +dimension 60 +uid 215,0 +) +*210 (MRCItem +litem &165 +pos 9 +dimension 130 +uid 216,0 +) +*211 (MRCItem +litem &166 +pos 10 +dimension 56 +uid 217,0 +) +*212 (MRCItem +litem &167 +pos 11 +dimension 50 +uid 218,0 +) +*213 (MRCItem +litem &168 +pos 12 +dimension 50 +uid 219,0 +) +*214 (MRCItem +litem &169 +pos 13 +dimension 80 +uid 220,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 201,0 +vaOverrides [ +] +) +] +) +uid 180,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *215 (LEmptyRow +) +uid 1859,0 +optionalChildren [ +*216 (RefLabelRowHdr +) +*217 (TitleRowHdr +) +*218 (FilterRowHdr +) +*219 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*220 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*221 (GroupColHdr +tm "GroupColHdrMgr" +) +*222 (NameColHdr +tm "GenericNameColHdrMgr" +) +*223 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*224 (InitColHdr +tm "GenericValueColHdrMgr" +) +*225 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*226 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1871,0 +optionalChildren [ +*227 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *228 (MRCItem +litem &215 +pos 0 +dimension 20 +) +uid 1873,0 +optionalChildren [ +*229 (MRCItem +litem &216 +pos 0 +dimension 20 +uid 1874,0 +) +*230 (MRCItem +litem &217 +pos 1 +dimension 23 +uid 1875,0 +) +*231 (MRCItem +litem &218 +pos 2 +hidden 1 +dimension 20 +uid 1876,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1877,0 +optionalChildren [ +*232 (MRCItem +litem &219 +pos 0 +dimension 20 +uid 1878,0 +) +*233 (MRCItem +litem &221 +pos 1 +dimension 50 +uid 1879,0 +) +*234 (MRCItem +litem &222 +pos 2 +dimension 100 +uid 1880,0 +) +*235 (MRCItem +litem &223 +pos 3 +dimension 100 +uid 1881,0 +) +*236 (MRCItem +litem &224 +pos 4 +dimension 50 +uid 1882,0 +) +*237 (MRCItem +litem &225 +pos 5 +dimension 50 +uid 1883,0 +) +*238 (MRCItem +litem &226 +pos 6 +dimension 80 +uid 1884,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1872,0 +vaOverrides [ +] +) +] +) +uid 1858,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/@main/struct.bd b/Cursor/hds/@main/struct.bd index e67beb8..28aa6f1 100644 --- a/Cursor/hds/@main/struct.bd +++ b/Cursor/hds/@main/struct.bd @@ -175,19 +175,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -215,7 +215,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -227,7 +227,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:21" +value "14:05:30" ) (vvPair variable "group" @@ -251,7 +251,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -259,11 +259,11 @@ value "Main" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -299,7 +299,7 @@ value "struct" ) (vvPair variable "time" -value "15:59:21" +value "14:05:30" ) (vvPair variable "unit" @@ -319,11 +319,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -1083,7 +1083,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "27200,49000,36400,50000" +xt "27200,49000,36600,50000" st " by %user on %dd %month %year " @@ -1836,7 +1836,7 @@ uid 535,0 lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 suid 24,0 @@ -1960,7 +1960,7 @@ uid 629,0 lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 suid 35,0 @@ -1978,7 +1978,7 @@ uid 631,0 lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 suid 36,0 @@ -2341,7 +2341,7 @@ uid 1348,0 lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 suid 51,0 @@ -2359,7 +2359,7 @@ uid 1350,0 lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 suid 52,0 @@ -3068,43 +3068,6 @@ uid 72,0 va (VaSet vasetType 3 ) -xt "95000,22000,115000,22000" -pts [ -"115000,22000" -"95000,22000" -] -) -start &9 -end &38 -sat 32 -eat 2 -st 0 -sf 1 -si 0 -tg (WTG -uid 75,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 76,0 -va (VaSet -isHidden 1 -) -xt "114000,20800,116700,22000" -st "RaZ" -blo "114000,21800" -tm "WireNameMgr" -) -) -on &10 -) -*112 (Wire -uid 71,0 -shape (OrthoPolyLine -uid 72,0 -va (VaSet -vasetType 3 -) xt "35000,40000,114000,45000" pts [ "114000,45000" @@ -3137,6 +3100,43 @@ tm "WireNameMgr" ) on &16 ) +*112 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "95000,22000,115000,22000" +pts [ +"115000,22000" +"95000,22000" +] +) +start &9 +end &38 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "114000,20800,116700,22000" +st "RaZ" +blo "114000,21800" +tm "WireNameMgr" +) +) +on &10 +) *113 (Wire uid 85,0 optionalChildren [ @@ -5641,12 +5641,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "13668,16080,70937,51255" +windowSize "836,123,2376,1083" +viewArea "6200,-8119,96728,49289" cachedDiagramExtent "-37000,-20200,122900,50000" hasePageBreakOrigin 1 pageBreakOrigin "-82000,-49000" -lastUid 5444,0 +lastUid 6005,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -6873,7 +6873,7 @@ lang 11 m 4 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 suid 24,0 @@ -6969,7 +6969,7 @@ lang 11 m 4 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 suid 35,0 @@ -6983,7 +6983,7 @@ lang 11 m 4 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 suid 36,0 @@ -7073,7 +7073,7 @@ lang 11 m 4 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 suid 51,0 @@ -7087,7 +7087,7 @@ lang 11 m 4 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 suid 52,0 diff --git a/Cursor/hds/@main/symbol.sb b/Cursor/hds/@main/symbol.sb index db26478..df38f04 100644 --- a/Cursor/hds/@main/symbol.sb +++ b/Cursor/hds/@main/symbol.sb @@ -78,7 +78,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 3 suid 76,0 ) @@ -130,7 +130,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_uLogic" o 4 suid 80,0 ) @@ -262,61 +262,61 @@ uid 155,0 ) *31 (MRCItem litem &14 -pos 0 +pos 1 dimension 20 uid 940,0 ) *32 (MRCItem litem &15 -pos 1 +pos 2 dimension 20 uid 942,0 ) *33 (MRCItem litem &16 -pos 2 +pos 0 dimension 20 uid 944,0 ) *34 (MRCItem litem &17 -pos 3 +pos 7 dimension 20 uid 946,0 ) *35 (MRCItem litem &18 -pos 4 +pos 8 dimension 20 uid 948,0 ) *36 (MRCItem litem &19 -pos 5 +pos 3 dimension 20 uid 950,0 ) *37 (MRCItem litem &20 -pos 6 +pos 4 dimension 20 uid 952,0 ) *38 (MRCItem litem &21 -pos 7 +pos 5 dimension 20 uid 954,0 ) *39 (MRCItem litem &22 -pos 8 +pos 9 dimension 20 uid 956,0 ) *40 (MRCItem litem &23 -pos 9 +pos 6 dimension 20 uid 958,0 ) @@ -561,23 +561,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -597,15 +597,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" ) (vvPair variable "date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "day" @@ -617,7 +617,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "11" ) (vvPair variable "entity_name" @@ -641,11 +641,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "graphical_source_group" @@ -653,11 +653,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:58:06" +value "14:45:52" ) (vvPair variable "group" @@ -665,7 +665,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -681,7 +681,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -689,19 +689,19 @@ value "Main" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\symbol.sb" ) (vvPair variable "package_name" @@ -729,7 +729,7 @@ value "symbol" ) (vvPair variable "time" -value "15:58:06" +value "14:45:52" ) (vvPair variable "unit" @@ -737,7 +737,7 @@ value "Main" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -749,11 +749,11 @@ value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -842,15 +842,15 @@ uid 888,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,60000,4800" -st "clk : IN unsigned ; +xt "44000,4000,61000,4800" +st "clk : IN std_uLogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 3 suid 76,0 ) @@ -1031,15 +1031,15 @@ uid 908,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,60000,5600" -st "rst : IN unsigned ; +xt "44000,4800,61000,5600" +st "rst : IN std_uLogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_uLogic" o 4 suid 80,0 ) @@ -1409,7 +1409,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,46200,49000" st " by %user on %dd %month %year " @@ -2031,6 +2031,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1215,0 +lastUid 1353,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@main2/fsm.sm b/Cursor/hds/@main2/fsm.sm new file mode 100644 index 0000000..f17781c --- /dev/null +++ b/Cursor/hds/@main2/fsm.sm @@ -0,0 +1,15509 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "Main2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "20:04:26" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "Main2" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\fsm.sm" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "20:04:26" +) +(vvPair +variable "unit" +value "Main2" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +uid 1839,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 3829,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69463,14613,76561,21711" +radius 3549 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "70462,17462,75562,18862" +st "choice" +ju 0 +blo "73012,18662" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "66412,15662,79612,17062" +st "wait 132000000" +blo "66412,16862" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "73012,19262,73012,19262" +blo "73012,19262" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "75587,19953,89887,24953" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75687,19853,89787,19853" +pts [ +"75687,19853" +"89787,19853" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75687,21953,89787,21953" +pts [ +"75687,21953" +"89787,21953" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75237,17678,75587,18028" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75237,18878,75587,19228" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "75687,16053,89787,19653" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "75687,20053,89787,24853" +st "RaZ <= '0'; +unlock <= '1' ; +Power <= \"00000000\"; +sideL <= '0';" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "78737,19053,78737,19053" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "70914,19364,77614,20564" +st "CASE: expr" +tm "SmCaseExpr" +) +) +expLoop 1 +) +*3 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*4 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,21450,15700" +st "s0" +blo "19750,15500" +tm "LinkName" +) +) +) +*5 (Grouping +uid 124,0 +optionalChildren [ +*6 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,48800,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,43200,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*16 (State +uid 221,0 +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "66359,33333,79859,46833" +) +name (Text +uid 223,0 +va (VaSet +font "Verdana,12,1" +) +xt "68659,40283,77559,41683" +st "Position_2" +ju 0 +blo "73109,41483" +tm "ONodeName" +) +wait (TextAssociate +uid 224,0 +ps "CenterOffsetStrategy" +text (Text +uid 225,0 +va (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +xt "70081,36415,76081,37815" +st "wait 50" +blo "70081,37615" +tm "SmWaitText" +) +) +encoding (Text +uid 226,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "73109,42083,73109,42083" +blo "73109,42083" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 229,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 230,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "79487,38613,94287,41213" +) +autoResize 1 +tline (Line +uid 231,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79587,38513,94187,38513" +pts [ +"79587,38513" +"94187,38513" +] +) +bline (Line +uid 232,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79587,38813,94187,38813" +pts [ +"79587,38813" +"94187,38813" +] +) +ttri (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79137,38138,79487,38488" +) +btri (Triangle +uid 234,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79137,36338,79487,36688" +) +entryActions (MLText +uid 235,0 +va (VaSet +) +xt "79587,38313,79587,38313" +tm "Actions" +) +inActions (MLText +uid 236,0 +va (VaSet +) +xt "79587,38713,94187,41113" +st "CurrentPos <= Position ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 237,0 +va (VaSet +) +xt "82887,36513,82887,36513" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 227,0 +ps "CenterOffsetStrategy" +text (MLText +uid 228,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "71011,41285,77711,42485" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +expLoop 1 +) +*17 (State +uid 238,0 +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "30842,34256,42568,45982" +) +name (Text +uid 240,0 +va (VaSet +font "Verdana,12,1" +) +xt "32905,40319,40505,41719" +st "Postion_1" +ju 0 +blo "36705,41519" +tm "ONodeName" +) +wait (TextAssociate +uid 241,0 +ps "CenterOffsetStrategy" +text (Text +uid 242,0 +va (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33207,37203,39207,38603" +st "wait 50" +blo "33207,38403" +tm "SmWaitText" +) +) +encoding (Text +uid 243,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36705,42119,36705,42119" +blo "36705,42119" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 246,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 247,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "42331,38461,57131,41061" +) +autoResize 1 +tline (Line +uid 248,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42431,38361,57031,38361" +pts [ +"42431,38361" +"57031,38361" +] +) +bline (Line +uid 249,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42431,38661,57031,38661" +pts [ +"42431,38661" +"57031,38661" +] +) +ttri (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41981,37986,42331,38336" +) +btri (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41981,36186,42331,36536" +) +entryActions (MLText +uid 252,0 +va (VaSet +) +xt "42431,38161,42431,38161" +tm "Actions" +) +inActions (MLText +uid 253,0 +va (VaSet +) +xt "42431,38561,57031,40961" +st "CurrentPos <= Position ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 254,0 +va (VaSet +) +xt "45731,36361,45731,36361" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 244,0 +ps "CenterOffsetStrategy" +text (MLText +uid 245,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34607,41321,41307,42521" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +expLoop 1 +) +*18 (State +uid 255,0 +shape (Circle +uid 256,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "114431,30274,125787,41630" +radius 5678 +) +name (Text +uid 257,0 +va (VaSet +font "Verdana,12,1" +) +xt "115059,35252,125159,36652" +st "Remise_a_0" +ju 0 +blo "120109,36452" +tm "ONodeName" +) +wait (TextAssociate +uid 258,0 +ps "CenterOffsetStrategy" +text (Text +uid 259,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "119859,36152,124959,37552" +st "wait 2" +blo "119859,37352" +tm "SmWaitText" +) +) +encoding (Text +uid 260,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "120109,37052,120109,37052" +blo "120109,37052" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 264,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "114680,37429,128980,41229" +) +autoResize 1 +tline (Line +uid 265,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114780,37329,128880,37329" +pts [ +"114780,37329" +"128880,37329" +] +) +bline (Line +uid 266,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114780,38529,128880,38529" +pts [ +"114780,38529" +"128880,38529" +] +) +ttri (Triangle +uid 267,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114330,36954,114680,37304" +) +btri (Triangle +uid 268,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "114330,35754,114680,36104" +) +entryActions (MLText +uid 269,0 +va (VaSet +) +xt "114780,37129,114780,37129" +tm "Actions" +) +inActions (MLText +uid 270,0 +va (VaSet +) +xt "114780,37529,128880,41129" +st "Power <= \"11111111\"; +SideL <= '1' ; +unlock <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 271,0 +va (VaSet +) +xt "117830,35929,117830,35929" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 261,0 +ps "CenterOffsetStrategy" +text (MLText +uid 262,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "118009,37152,124709,38352" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*19 (State +uid 329,0 +shape (Circle +uid 330,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "117065,48021,124167,55123" +radius 3551 +) +name (Text +uid 331,0 +va (VaSet +font "Verdana,12,1" +) +xt "118666,50872,122566,52272" +st "Zero" +ju 0 +blo "120616,52072" +tm "ONodeName" +) +wait (TextAssociate +uid 332,0 +ps "CenterOffsetStrategy" +text (Text +uid 333,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "120366,51772,125466,53172" +st "wait 2" +blo "120366,52972" +tm "SmWaitText" +) +) +encoding (Text +uid 334,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "120616,52672,120616,52672" +blo "120616,52672" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 337,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 338,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "116564,55740,131164,58340" +) +autoResize 1 +tline (Line +uid 339,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116664,55640,131064,55640" +pts [ +"116664,55640" +"131064,55640" +] +) +bline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116664,55940,131064,55940" +pts [ +"116664,55940" +"131064,55940" +] +) +ttri (Triangle +uid 341,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116214,54065,116564,54415" +) +btri (Triangle +uid 342,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "116214,53465,116564,53815" +) +entryActions (MLText +uid 343,0 +va (VaSet +) +xt "116664,53040,131064,55440" +tm "Actions" +) +inActions (MLText +uid 344,0 +va (VaSet +) +xt "116664,55840,131064,58240" +st "RaZ <= '1' ; +Power <= \"00000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 345,0 +va (VaSet +) +xt "119864,53640,119864,53640" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 335,0 +ps "CenterOffsetStrategy" +text (MLText +uid 336,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "118516,52772,125216,53972" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 400,0 +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "34465,60704,42817,69056" +) +name (Text +uid 402,0 +va (VaSet +font "Verdana,12,1" +) +xt "36391,65080,40891,66480" +st "GoR1" +ju 0 +blo "38641,66280" +tm "ONodeName" +) +wait (TextAssociate +uid 403,0 +ps "CenterOffsetStrategy" +text (Text +uid 404,0 +va (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +xt "34485,62904,40485,64304" +st "wait 50" +blo "34485,64104" +tm "SmWaitText" +) +) +encoding (Text +uid 405,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "38641,66880,38641,66880" +blo "38641,66880" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 408,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 409,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43906,64619,51906,67619" +) +autoResize 1 +tline (Line +uid 410,0 +va (VaSet +vasetType 3 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "44006,66119,51806,66119" +pts [ +"44006,66119" +"51806,66119" +] +) +bline (Line +uid 411,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "44006,65119,51806,65119" +pts [ +"44006,65119" +"51806,65119" +] +) +ttri (Triangle +uid 412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43556,65144,43906,65494" +) +btri (Triangle +uid 413,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43556,62544,43906,62894" +) +entryActions (MLText +uid 414,0 +va (VaSet +) +xt "44006,64719,51806,65919" +st "sideL <= '0';" +tm "Actions" +) +inActions (MLText +uid 415,0 +va (VaSet +) +xt "44006,66319,51806,67519" +st "sideL <= '0';" +tm "Actions" +) +exitActions (MLText +uid 416,0 +va (VaSet +) +xt "43906,62719,43906,62719" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 406,0 +ps "CenterOffsetStrategy" +text (MLText +uid 407,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36542,66081,43242,67281" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +expLoop 1 +) +*21 (State +uid 417,0 +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "72337,61271,80689,69623" +) +name (Text +uid 419,0 +va (VaSet +font "Verdana,12,1" +) +xt "74313,65647,78713,67047" +st "GoL1" +ju 0 +blo "76513,66847" +tm "ONodeName" +) +wait (TextAssociate +uid 420,0 +ps "CenterOffsetStrategy" +text (Text +uid 421,0 +va (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +xt "72827,62813,78827,64213" +st "wait 50" +blo "72827,64013" +tm "SmWaitText" +) +) +encoding (Text +uid 422,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "76513,67447,76513,67447" +blo "76513,67447" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 425,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 426,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "81158,63494,89458,66494" +) +autoResize 1 +tline (Line +uid 427,0 +va (VaSet +vasetType 3 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "81258,64994,89358,64994" +pts [ +"81258,64994" +"89358,64994" +] +) +bline (Line +uid 428,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "81258,63994,89358,63994" +pts [ +"81258,63994" +"89358,63994" +] +) +ttri (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80808,64019,81158,64369" +) +btri (Triangle +uid 430,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80808,61419,81158,61769" +) +entryActions (MLText +uid 431,0 +va (VaSet +) +xt "81258,63594,89358,64794" +st "sideL <= '1' ;" +tm "Actions" +) +inActions (MLText +uid 432,0 +va (VaSet +) +xt "81258,65194,89358,66394" +st "sideL <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 433,0 +va (VaSet +) +xt "81308,61594,81308,61594" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 423,0 +ps "CenterOffsetStrategy" +text (MLText +uid 424,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "74414,66648,81114,67848" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +expLoop 1 +) +*22 (State +uid 950,0 +shape (Circle +uid 951,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "30840,75351,42392,86903" +radius 5776 +) +name (Text +uid 952,0 +va (VaSet +font "Verdana,12,1" +) +xt "33566,80427,39666,81827" +st "accel_1" +ju 0 +blo "36616,81627" +tm "ONodeName" +) +wait (TextAssociate +uid 953,0 +ps "CenterOffsetStrategy" +text (Text +uid 954,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "36366,81327,41466,82727" +st "wait 2" +blo "36366,82527" +tm "SmWaitText" +) +) +encoding (Text +uid 955,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36616,82227,36616,82227" +blo "36616,82227" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 958,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 959,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33316,83827,47916,85227" +) +autoResize 1 +tline (Line +uid 960,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33416,83727,47816,83727" +pts [ +"33416,83727" +"47816,83727" +] +) +bline (Line +uid 961,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33416,83127,47816,83127" +pts [ +"33416,83127" +"47816,83127" +] +) +ttri (Triangle +uid 962,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32966,83352,33316,83702" +) +btri (Triangle +uid 963,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32966,80952,33316,81302" +) +entryActions (MLText +uid 964,0 +va (VaSet +) +xt "33416,83527,33416,83527" +tm "Actions" +) +inActions (MLText +uid 965,0 +va (VaSet +) +xt "33416,83927,47816,85127" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 966,0 +va (VaSet +) +xt "36616,81127,36616,81127" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 956,0 +ps "CenterOffsetStrategy" +text (MLText +uid 957,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34516,82327,41216,83527" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 967,0 +shape (Circle +uid 968,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50099,83675,61651,95227" +radius 5776 +) +name (Text +uid 969,0 +va (VaSet +font "Verdana,12,1" +) +xt "52825,88751,58925,90151" +st "accel_2" +ju 0 +blo "55875,89951" +tm "ONodeName" +) +wait (TextAssociate +uid 970,0 +ps "CenterOffsetStrategy" +text (Text +uid 971,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55625,89651,60725,91051" +st "wait 2" +blo "55625,90851" +tm "SmWaitText" +) +) +encoding (Text +uid 972,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55875,90551,55875,90551" +blo "55875,90551" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 975,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 976,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52575,92151,67175,93551" +) +autoResize 1 +tline (Line +uid 977,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52675,92051,67075,92051" +pts [ +"52675,92051" +"67075,92051" +] +) +bline (Line +uid 978,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52675,91451,67075,91451" +pts [ +"52675,91451" +"67075,91451" +] +) +ttri (Triangle +uid 979,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52225,91676,52575,92026" +) +btri (Triangle +uid 980,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52225,89276,52575,89626" +) +entryActions (MLText +uid 981,0 +va (VaSet +) +xt "52675,91851,52675,91851" +tm "Actions" +) +inActions (MLText +uid 982,0 +va (VaSet +) +xt "52675,92251,67075,93451" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 983,0 +va (VaSet +) +xt "55875,89451,55875,89451" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 973,0 +ps "CenterOffsetStrategy" +text (MLText +uid 974,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53775,90651,60475,91851" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 984,0 +shape (Circle +uid 985,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "29538,94968,41090,106520" +radius 5776 +) +name (Text +uid 986,0 +va (VaSet +font "Verdana,12,1" +) +xt "32264,100044,38364,101444" +st "accel_3" +ju 0 +blo "35314,101244" +tm "ONodeName" +) +wait (TextAssociate +uid 987,0 +ps "CenterOffsetStrategy" +text (Text +uid 988,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "35064,100944,40164,102344" +st "wait 2" +blo "35064,102144" +tm "SmWaitText" +) +) +encoding (Text +uid 989,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35314,101844,35314,101844" +blo "35314,101844" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 992,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 993,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "32014,103444,46614,104844" +) +autoResize 1 +tline (Line +uid 994,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32114,103344,46514,103344" +pts [ +"32114,103344" +"46514,103344" +] +) +bline (Line +uid 995,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32114,102744,46514,102744" +pts [ +"32114,102744" +"46514,102744" +] +) +ttri (Triangle +uid 996,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31664,102969,32014,103319" +) +btri (Triangle +uid 997,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31664,100569,32014,100919" +) +entryActions (MLText +uid 998,0 +va (VaSet +) +xt "32114,103144,32114,103144" +tm "Actions" +) +inActions (MLText +uid 999,0 +va (VaSet +) +xt "32114,103544,46514,104744" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1000,0 +va (VaSet +) +xt "35314,100744,35314,100744" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 990,0 +ps "CenterOffsetStrategy" +text (MLText +uid 991,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "33214,101944,39914,103144" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 1001,0 +shape (Circle +uid 1002,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50187,104138,61739,115690" +radius 5776 +) +name (Text +uid 1003,0 +va (VaSet +font "Verdana,12,1" +) +xt "52913,109214,59013,110614" +st "accel_4" +ju 0 +blo "55963,110414" +tm "ONodeName" +) +wait (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (Text +uid 1005,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55713,110114,60813,111514" +st "wait 2" +blo "55713,111314" +tm "SmWaitText" +) +) +encoding (Text +uid 1006,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55963,111014,55963,111014" +blo "55963,111014" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1009,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1010,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52663,112614,67263,114014" +) +autoResize 1 +tline (Line +uid 1011,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52763,112514,67163,112514" +pts [ +"52763,112514" +"67163,112514" +] +) +bline (Line +uid 1012,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52763,111914,67163,111914" +pts [ +"52763,111914" +"67163,111914" +] +) +ttri (Triangle +uid 1013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52313,112139,52663,112489" +) +btri (Triangle +uid 1014,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52313,109739,52663,110089" +) +entryActions (MLText +uid 1015,0 +va (VaSet +) +xt "52763,112314,52763,112314" +tm "Actions" +) +inActions (MLText +uid 1016,0 +va (VaSet +) +xt "52763,112714,67163,113914" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1017,0 +va (VaSet +) +xt "55963,109914,55963,109914" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1007,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1008,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53863,111114,60563,112314" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 1018,0 +shape (Circle +uid 1019,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28361,114381,39913,125933" +radius 5776 +) +name (Text +uid 1020,0 +va (VaSet +font "Verdana,12,1" +) +xt "31087,119457,37187,120857" +st "accel_5" +ju 0 +blo "34137,120657" +tm "ONodeName" +) +wait (TextAssociate +uid 1021,0 +ps "CenterOffsetStrategy" +text (Text +uid 1022,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33887,120357,38987,121757" +st "wait 2" +blo "33887,121557" +tm "SmWaitText" +) +) +encoding (Text +uid 1023,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34137,121257,34137,121257" +blo "34137,121257" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1026,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1027,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "30837,122857,45437,124257" +) +autoResize 1 +tline (Line +uid 1028,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30937,122757,45337,122757" +pts [ +"30937,122757" +"45337,122757" +] +) +bline (Line +uid 1029,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30937,122157,45337,122157" +pts [ +"30937,122157" +"45337,122157" +] +) +ttri (Triangle +uid 1030,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30487,122382,30837,122732" +) +btri (Triangle +uid 1031,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30487,119982,30837,120332" +) +entryActions (MLText +uid 1032,0 +va (VaSet +) +xt "30937,122557,30937,122557" +tm "Actions" +) +inActions (MLText +uid 1033,0 +va (VaSet +) +xt "30937,122957,45337,124157" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1034,0 +va (VaSet +) +xt "34137,120157,34137,120157" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1024,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1025,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32037,121357,38737,122557" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 1035,0 +shape (Circle +uid 1036,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "49262,123814,60814,135366" +radius 5776 +) +name (Text +uid 1037,0 +va (VaSet +font "Verdana,12,1" +) +xt "51988,128890,58088,130290" +st "accel_6" +ju 0 +blo "55038,130090" +tm "ONodeName" +) +wait (TextAssociate +uid 1038,0 +ps "CenterOffsetStrategy" +text (Text +uid 1039,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "54788,129790,59888,131190" +st "wait 2" +blo "54788,130990" +tm "SmWaitText" +) +) +encoding (Text +uid 1040,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55038,130690,55038,130690" +blo "55038,130690" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1043,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1044,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51738,132290,66338,133690" +) +autoResize 1 +tline (Line +uid 1045,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51838,132190,66238,132190" +pts [ +"51838,132190" +"66238,132190" +] +) +bline (Line +uid 1046,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51838,131590,66238,131590" +pts [ +"51838,131590" +"66238,131590" +] +) +ttri (Triangle +uid 1047,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51388,131815,51738,132165" +) +btri (Triangle +uid 1048,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51388,129415,51738,129765" +) +entryActions (MLText +uid 1049,0 +va (VaSet +) +xt "51838,131990,51838,131990" +tm "Actions" +) +inActions (MLText +uid 1050,0 +va (VaSet +) +xt "51838,132390,66238,133590" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1051,0 +va (VaSet +) +xt "55038,129590,55038,129590" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1041,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1042,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "52938,130790,59638,131990" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (State +uid 1052,0 +shape (Circle +uid 1053,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "27596,134859,39148,146411" +radius 5776 +) +name (Text +uid 1054,0 +va (VaSet +font "Verdana,12,1" +) +xt "30322,139935,36422,141335" +st "accel_7" +ju 0 +blo "33372,141135" +tm "ONodeName" +) +wait (TextAssociate +uid 1055,0 +ps "CenterOffsetStrategy" +text (Text +uid 1056,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33122,140835,38222,142235" +st "wait 2" +blo "33122,142035" +tm "SmWaitText" +) +) +encoding (Text +uid 1057,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "33372,141735,33372,141735" +blo "33372,141735" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1060,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1061,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "30072,143335,44672,144735" +) +autoResize 1 +tline (Line +uid 1062,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30172,143235,44572,143235" +pts [ +"30172,143235" +"44572,143235" +] +) +bline (Line +uid 1063,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "30172,142635,44572,142635" +pts [ +"30172,142635" +"44572,142635" +] +) +ttri (Triangle +uid 1064,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29722,142860,30072,143210" +) +btri (Triangle +uid 1065,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29722,140460,30072,140810" +) +entryActions (MLText +uid 1066,0 +va (VaSet +) +xt "30172,143035,30172,143035" +tm "Actions" +) +inActions (MLText +uid 1067,0 +va (VaSet +) +xt "30172,143435,44572,144635" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1068,0 +va (VaSet +) +xt "33372,140635,33372,140635" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1058,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1059,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31272,141835,37972,143035" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (State +uid 1069,0 +shape (Circle +uid 1070,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "49186,144305,60738,155857" +radius 5776 +) +name (Text +uid 1071,0 +va (VaSet +font "Verdana,12,1" +) +xt "51912,149381,58012,150781" +st "accel_8" +ju 0 +blo "54962,150581" +tm "ONodeName" +) +wait (TextAssociate +uid 1072,0 +ps "CenterOffsetStrategy" +text (Text +uid 1073,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "54712,150281,59812,151681" +st "wait 2" +blo "54712,151481" +tm "SmWaitText" +) +) +encoding (Text +uid 1074,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54962,151181,54962,151181" +blo "54962,151181" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1077,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1078,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51662,152781,66262,154181" +) +autoResize 1 +tline (Line +uid 1079,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51762,152681,66162,152681" +pts [ +"51762,152681" +"66162,152681" +] +) +bline (Line +uid 1080,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51762,152081,66162,152081" +pts [ +"51762,152081" +"66162,152081" +] +) +ttri (Triangle +uid 1081,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51312,152306,51662,152656" +) +btri (Triangle +uid 1082,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51312,149906,51662,150256" +) +entryActions (MLText +uid 1083,0 +va (VaSet +) +xt "51762,152481,51762,152481" +tm "Actions" +) +inActions (MLText +uid 1084,0 +va (VaSet +) +xt "51762,152881,66162,154081" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1085,0 +va (VaSet +) +xt "54962,150081,54962,150081" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1075,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1076,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "52862,151281,59562,152481" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 1086,0 +shape (Circle +uid 1087,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "26912,153286,38464,164838" +radius 5776 +) +name (Text +uid 1088,0 +va (VaSet +font "Verdana,12,1" +) +xt "29638,158362,35738,159762" +st "accel_9" +ju 0 +blo "32688,159562" +tm "ONodeName" +) +wait (TextAssociate +uid 1089,0 +ps "CenterOffsetStrategy" +text (Text +uid 1090,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "32438,159262,37538,160662" +st "wait 2" +blo "32438,160462" +tm "SmWaitText" +) +) +encoding (Text +uid 1091,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32688,160162,32688,160162" +blo "32688,160162" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1094,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1095,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "28623,162335,43223,163735" +) +autoResize 1 +tline (Line +uid 1096,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28723,162235,43123,162235" +pts [ +"28723,162235" +"43123,162235" +] +) +bline (Line +uid 1097,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28723,161635,43123,161635" +pts [ +"28723,161635" +"43123,161635" +] +) +ttri (Triangle +uid 1098,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28273,161860,28623,162210" +) +btri (Triangle +uid 1099,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28273,159460,28623,159810" +) +entryActions (MLText +uid 1100,0 +va (VaSet +) +xt "28723,162035,28723,162035" +tm "Actions" +) +inActions (MLText +uid 1101,0 +va (VaSet +) +xt "28723,162435,43123,163635" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1102,0 +va (VaSet +) +xt "31923,159635,31923,159635" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1092,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1093,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "30588,160262,37288,161462" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 1103,0 +shape (Circle +uid 1104,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "42858,173184,55290,185616" +radius 6216 +) +name (Text +uid 1105,0 +va (VaSet +font "Verdana,12,1" +) +xt "46074,178700,52074,180100" +st "CruiseR" +ju 0 +blo "49074,179900" +tm "ONodeName" +) +wait (TextAssociate +uid 1106,0 +ps "CenterOffsetStrategy" +text (Text +uid 1107,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48824,179600,53924,181000" +st "wait 2" +blo "48824,180800" +tm "SmWaitText" +) +) +encoding (Text +uid 1108,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49074,180500,49074,180500" +blo "49074,180500" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1111,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1112,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45774,182100,60374,183500" +) +autoResize 1 +tline (Line +uid 1113,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45874,182000,60274,182000" +pts [ +"45874,182000" +"60274,182000" +] +) +bline (Line +uid 1114,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45874,181400,60274,181400" +pts [ +"45874,181400" +"60274,181400" +] +) +ttri (Triangle +uid 1115,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45424,181625,45774,181975" +) +btri (Triangle +uid 1116,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45424,179225,45774,179575" +) +entryActions (MLText +uid 1117,0 +va (VaSet +) +xt "45874,181800,45874,181800" +tm "Actions" +) +inActions (MLText +uid 1118,0 +va (VaSet +) +xt "45874,182200,60274,183400" +st "Power <= \"11111111\" ;" +tm "Actions" +) +exitActions (MLText +uid 1119,0 +va (VaSet +) +xt "49074,179400,49074,179400" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1109,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1110,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46974,180600,53674,181800" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 1269,0 +shape (Circle +uid 1270,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "80675,74692,92227,86244" +radius 5776 +) +name (Text +uid 1271,0 +va (VaSet +font "Verdana,12,1" +) +xt "82951,79768,89951,81168" +st "accel_11" +ju 0 +blo "86451,80968" +tm "ONodeName" +) +wait (TextAssociate +uid 1272,0 +ps "CenterOffsetStrategy" +text (Text +uid 1273,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "86201,80668,91301,82068" +st "wait 2" +blo "86201,81868" +tm "SmWaitText" +) +) +encoding (Text +uid 1274,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "86451,81568,86451,81568" +blo "86451,81568" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1277,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1278,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "83151,83168,97751,84568" +) +autoResize 1 +tline (Line +uid 1279,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "83251,83068,97651,83068" +pts [ +"83251,83068" +"97651,83068" +] +) +bline (Line +uid 1280,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "83251,82468,97651,82468" +pts [ +"83251,82468" +"97651,82468" +] +) +ttri (Triangle +uid 1281,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "82801,82693,83151,83043" +) +btri (Triangle +uid 1282,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "82801,80293,83151,80643" +) +entryActions (MLText +uid 1283,0 +va (VaSet +) +xt "83251,82868,83251,82868" +tm "Actions" +) +inActions (MLText +uid 1284,0 +va (VaSet +) +xt "83251,83268,97651,84468" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1285,0 +va (VaSet +) +xt "86451,80468,86451,80468" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1275,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1276,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "84351,81668,91051,82868" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 1286,0 +shape (Circle +uid 1287,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99934,83016,111486,94568" +radius 5776 +) +name (Text +uid 1288,0 +va (VaSet +font "Verdana,12,1" +) +xt "102210,88092,109210,89492" +st "accel_12" +ju 0 +blo "105710,89292" +tm "ONodeName" +) +wait (TextAssociate +uid 1289,0 +ps "CenterOffsetStrategy" +text (Text +uid 1290,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105460,88992,110560,90392" +st "wait 2" +blo "105460,90192" +tm "SmWaitText" +) +) +encoding (Text +uid 1291,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105710,89892,105710,89892" +blo "105710,89892" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1295,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102410,91492,117010,92892" +) +autoResize 1 +tline (Line +uid 1296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102510,91392,116910,91392" +pts [ +"102510,91392" +"116910,91392" +] +) +bline (Line +uid 1297,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102510,90792,116910,90792" +pts [ +"102510,90792" +"116910,90792" +] +) +ttri (Triangle +uid 1298,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102060,91017,102410,91367" +) +btri (Triangle +uid 1299,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102060,88617,102410,88967" +) +entryActions (MLText +uid 1300,0 +va (VaSet +) +xt "102510,91192,102510,91192" +tm "Actions" +) +inActions (MLText +uid 1301,0 +va (VaSet +) +xt "102510,91592,116910,92792" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1302,0 +va (VaSet +) +xt "105710,88792,105710,88792" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1292,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1293,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103610,89992,110310,91192" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 1303,0 +shape (Circle +uid 1304,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "79373,94309,90925,105861" +radius 5776 +) +name (Text +uid 1305,0 +va (VaSet +font "Verdana,12,1" +) +xt "81649,99385,88649,100785" +st "accel_13" +ju 0 +blo "85149,100585" +tm "ONodeName" +) +wait (TextAssociate +uid 1306,0 +ps "CenterOffsetStrategy" +text (Text +uid 1307,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "84899,100285,89999,101685" +st "wait 2" +blo "84899,101485" +tm "SmWaitText" +) +) +encoding (Text +uid 1308,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "85149,101185,85149,101185" +blo "85149,101185" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1311,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1312,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "81849,102785,96449,104185" +) +autoResize 1 +tline (Line +uid 1313,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81949,102685,96349,102685" +pts [ +"81949,102685" +"96349,102685" +] +) +bline (Line +uid 1314,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81949,102085,96349,102085" +pts [ +"81949,102085" +"96349,102085" +] +) +ttri (Triangle +uid 1315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81499,102310,81849,102660" +) +btri (Triangle +uid 1316,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81499,99910,81849,100260" +) +entryActions (MLText +uid 1317,0 +va (VaSet +) +xt "81949,102485,81949,102485" +tm "Actions" +) +inActions (MLText +uid 1318,0 +va (VaSet +) +xt "81949,102885,96349,104085" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1319,0 +va (VaSet +) +xt "85149,100085,85149,100085" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1309,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1310,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83049,101285,89749,102485" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 1320,0 +shape (Circle +uid 1321,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "100022,103479,111574,115031" +radius 5776 +) +name (Text +uid 1322,0 +va (VaSet +font "Verdana,12,1" +) +xt "102298,108555,109298,109955" +st "accel_14" +ju 0 +blo "105798,109755" +tm "ONodeName" +) +wait (TextAssociate +uid 1323,0 +ps "CenterOffsetStrategy" +text (Text +uid 1324,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105548,109455,110648,110855" +st "wait 2" +blo "105548,110655" +tm "SmWaitText" +) +) +encoding (Text +uid 1325,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105798,110355,105798,110355" +blo "105798,110355" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1328,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1329,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102498,111955,117098,113355" +) +autoResize 1 +tline (Line +uid 1330,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102598,111855,116998,111855" +pts [ +"102598,111855" +"116998,111855" +] +) +bline (Line +uid 1331,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102598,111255,116998,111255" +pts [ +"102598,111255" +"116998,111255" +] +) +ttri (Triangle +uid 1332,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102148,111480,102498,111830" +) +btri (Triangle +uid 1333,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102148,109080,102498,109430" +) +entryActions (MLText +uid 1334,0 +va (VaSet +) +xt "102598,111655,102598,111655" +tm "Actions" +) +inActions (MLText +uid 1335,0 +va (VaSet +) +xt "102598,112055,116998,113255" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1336,0 +va (VaSet +) +xt "105798,109255,105798,109255" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1326,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1327,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103698,110455,110398,111655" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (State +uid 1337,0 +shape (Circle +uid 1338,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "78196,113722,89748,125274" +radius 5776 +) +name (Text +uid 1339,0 +va (VaSet +font "Verdana,12,1" +) +xt "80472,118798,87472,120198" +st "accel_15" +ju 0 +blo "83972,119998" +tm "ONodeName" +) +wait (TextAssociate +uid 1340,0 +ps "CenterOffsetStrategy" +text (Text +uid 1341,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "83722,119698,88822,121098" +st "wait 2" +blo "83722,120898" +tm "SmWaitText" +) +) +encoding (Text +uid 1342,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83972,120598,83972,120598" +blo "83972,120598" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1345,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1346,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "80672,122198,95272,123598" +) +autoResize 1 +tline (Line +uid 1347,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80772,122098,95172,122098" +pts [ +"80772,122098" +"95172,122098" +] +) +bline (Line +uid 1348,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80772,121498,95172,121498" +pts [ +"80772,121498" +"95172,121498" +] +) +ttri (Triangle +uid 1349,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80322,121723,80672,122073" +) +btri (Triangle +uid 1350,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80322,119323,80672,119673" +) +entryActions (MLText +uid 1351,0 +va (VaSet +) +xt "80772,121898,80772,121898" +tm "Actions" +) +inActions (MLText +uid 1352,0 +va (VaSet +) +xt "80772,122298,95172,123498" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1353,0 +va (VaSet +) +xt "83972,119498,83972,119498" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1343,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1344,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "81872,120698,88572,121898" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (State +uid 1354,0 +shape (Circle +uid 1355,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99097,123155,110649,134707" +radius 5776 +) +name (Text +uid 1356,0 +va (VaSet +font "Verdana,12,1" +) +xt "101373,128231,108373,129631" +st "accel_16" +ju 0 +blo "104873,129431" +tm "ONodeName" +) +wait (TextAssociate +uid 1357,0 +ps "CenterOffsetStrategy" +text (Text +uid 1358,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "104623,129131,109723,130531" +st "wait 2" +blo "104623,130331" +tm "SmWaitText" +) +) +encoding (Text +uid 1359,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "104873,130031,104873,130031" +blo "104873,130031" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1362,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1363,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "101573,131631,116173,133031" +) +autoResize 1 +tline (Line +uid 1364,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101673,131531,116073,131531" +pts [ +"101673,131531" +"116073,131531" +] +) +bline (Line +uid 1365,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101673,130931,116073,130931" +pts [ +"101673,130931" +"116073,130931" +] +) +ttri (Triangle +uid 1366,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101223,131156,101573,131506" +) +btri (Triangle +uid 1367,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101223,128756,101573,129106" +) +entryActions (MLText +uid 1368,0 +va (VaSet +) +xt "101673,131331,101673,131331" +tm "Actions" +) +inActions (MLText +uid 1369,0 +va (VaSet +) +xt "101673,131731,116073,132931" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1370,0 +va (VaSet +) +xt "104873,128931,104873,128931" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1360,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1361,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "102773,130131,109473,131331" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (State +uid 1371,0 +shape (Circle +uid 1372,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "77431,134200,88983,145752" +radius 5776 +) +name (Text +uid 1373,0 +va (VaSet +font "Verdana,12,1" +) +xt "79707,139276,86707,140676" +st "accel_17" +ju 0 +blo "83207,140476" +tm "ONodeName" +) +wait (TextAssociate +uid 1374,0 +ps "CenterOffsetStrategy" +text (Text +uid 1375,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "82957,140176,88057,141576" +st "wait 2" +blo "82957,141376" +tm "SmWaitText" +) +) +encoding (Text +uid 1376,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "83207,141076,83207,141076" +blo "83207,141076" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1379,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1380,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "79907,142676,94507,144076" +) +autoResize 1 +tline (Line +uid 1381,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80007,142576,94407,142576" +pts [ +"80007,142576" +"94407,142576" +] +) +bline (Line +uid 1382,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80007,141976,94407,141976" +pts [ +"80007,141976" +"94407,141976" +] +) +ttri (Triangle +uid 1383,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79557,142201,79907,142551" +) +btri (Triangle +uid 1384,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79557,139801,79907,140151" +) +entryActions (MLText +uid 1385,0 +va (VaSet +) +xt "80007,142376,80007,142376" +tm "Actions" +) +inActions (MLText +uid 1386,0 +va (VaSet +) +xt "80007,142776,94407,143976" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1387,0 +va (VaSet +) +xt "83207,139976,83207,139976" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1377,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1378,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "81107,141176,87807,142376" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*39 (State +uid 1388,0 +shape (Circle +uid 1389,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99021,143646,110573,155198" +radius 5776 +) +name (Text +uid 1390,0 +va (VaSet +font "Verdana,12,1" +) +xt "101297,148722,108297,150122" +st "accel_18" +ju 0 +blo "104797,149922" +tm "ONodeName" +) +wait (TextAssociate +uid 1391,0 +ps "CenterOffsetStrategy" +text (Text +uid 1392,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "104547,149622,109647,151022" +st "wait 2" +blo "104547,150822" +tm "SmWaitText" +) +) +encoding (Text +uid 1393,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "104797,150522,104797,150522" +blo "104797,150522" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1397,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "101497,152122,116097,153522" +) +autoResize 1 +tline (Line +uid 1398,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101597,152022,115997,152022" +pts [ +"101597,152022" +"115997,152022" +] +) +bline (Line +uid 1399,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101597,151422,115997,151422" +pts [ +"101597,151422" +"115997,151422" +] +) +ttri (Triangle +uid 1400,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101147,151647,101497,151997" +) +btri (Triangle +uid 1401,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101147,149247,101497,149597" +) +entryActions (MLText +uid 1402,0 +va (VaSet +) +xt "101597,151822,101597,151822" +tm "Actions" +) +inActions (MLText +uid 1403,0 +va (VaSet +) +xt "101597,152222,115997,153422" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1404,0 +va (VaSet +) +xt "104797,149422,104797,149422" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1394,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1395,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "102697,150622,109397,151822" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*40 (State +uid 1405,0 +shape (Circle +uid 1406,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "76747,152627,88299,164179" +radius 5776 +) +name (Text +uid 1407,0 +va (VaSet +font "Verdana,12,1" +) +xt "79023,157703,86023,159103" +st "accel_19" +ju 0 +blo "82523,158903" +tm "ONodeName" +) +wait (TextAssociate +uid 1408,0 +ps "CenterOffsetStrategy" +text (Text +uid 1409,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "82273,158603,87373,160003" +st "wait 2" +blo "82273,159803" +tm "SmWaitText" +) +) +encoding (Text +uid 1410,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "82523,159503,82523,159503" +blo "82523,159503" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1413,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1414,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "78458,161676,93058,163076" +) +autoResize 1 +tline (Line +uid 1415,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78558,161576,92958,161576" +pts [ +"78558,161576" +"92958,161576" +] +) +bline (Line +uid 1416,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78558,160976,92958,160976" +pts [ +"78558,160976" +"92958,160976" +] +) +ttri (Triangle +uid 1417,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78108,161201,78458,161551" +) +btri (Triangle +uid 1418,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78108,158801,78458,159151" +) +entryActions (MLText +uid 1419,0 +va (VaSet +) +xt "78558,161376,78558,161376" +tm "Actions" +) +inActions (MLText +uid 1420,0 +va (VaSet +) +xt "78558,161776,92958,162976" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1421,0 +va (VaSet +) +xt "81758,158976,81758,158976" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1411,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1412,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80423,159603,87123,160803" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*41 (State +uid 1422,0 +shape (Circle +uid 1423,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99126,171975,111558,184407" +radius 6216 +) +name (Text +uid 1424,0 +va (VaSet +font "Verdana,12,1" +) +xt "102392,177491,108292,178891" +st "CruiseL" +ju 0 +blo "105342,178691" +tm "ONodeName" +) +wait (TextAssociate +uid 1425,0 +ps "CenterOffsetStrategy" +text (Text +uid 1426,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "105092,178391,110192,179791" +st "wait 2" +blo "105092,179591" +tm "SmWaitText" +) +) +encoding (Text +uid 1427,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105342,179291,105342,179291" +blo "105342,179291" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1430,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1431,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "102042,180891,116642,182291" +) +autoResize 1 +tline (Line +uid 1432,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102142,180791,116542,180791" +pts [ +"102142,180791" +"116542,180791" +] +) +bline (Line +uid 1433,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "102142,180191,116542,180191" +pts [ +"102142,180191" +"116542,180191" +] +) +ttri (Triangle +uid 1434,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101692,180416,102042,180766" +) +btri (Triangle +uid 1435,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101692,178016,102042,178366" +) +entryActions (MLText +uid 1436,0 +va (VaSet +) +xt "102142,180591,102142,180591" +tm "Actions" +) +inActions (MLText +uid 1437,0 +va (VaSet +) +xt "102142,180991,116542,182191" +st "Power <= \"11111111\" ;" +tm "Actions" +) +exitActions (MLText +uid 1438,0 +va (VaSet +) +xt "105342,178191,105342,178191" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1428,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1429,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "103242,179391,109942,180591" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*42 (State +uid 1569,0 +shape (Circle +uid 1570,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "24971,193658,36523,205210" +radius 5776 +) +name (Text +uid 1571,0 +va (VaSet +font "Verdana,12,1" +) +xt "27647,198734,33847,200134" +st "decel_1" +ju 0 +blo "30747,199934" +tm "ONodeName" +) +wait (TextAssociate +uid 1572,0 +ps "CenterOffsetStrategy" +text (Text +uid 1573,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "30497,199634,35597,201034" +st "wait 2" +blo "30497,200834" +tm "SmWaitText" +) +) +encoding (Text +uid 1574,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "30747,200534,30747,200534" +blo "30747,200534" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1577,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1578,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "27447,202134,42047,203534" +) +autoResize 1 +tline (Line +uid 1579,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27547,202034,41947,202034" +pts [ +"27547,202034" +"41947,202034" +] +) +bline (Line +uid 1580,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27547,201434,41947,201434" +pts [ +"27547,201434" +"41947,201434" +] +) +ttri (Triangle +uid 1581,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27097,201659,27447,202009" +) +btri (Triangle +uid 1582,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27097,199259,27447,199609" +) +entryActions (MLText +uid 1583,0 +va (VaSet +) +xt "27547,201834,27547,201834" +tm "Actions" +) +inActions (MLText +uid 1584,0 +va (VaSet +) +xt "27547,202234,41947,203434" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1585,0 +va (VaSet +) +xt "30747,199434,30747,199434" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1575,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1576,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "28647,200634,35347,201834" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*43 (State +uid 1586,0 +shape (Circle +uid 1587,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "44230,201982,55782,213534" +radius 5776 +) +name (Text +uid 1588,0 +va (VaSet +font "Verdana,12,1" +) +xt "46906,207058,53106,208458" +st "decel_2" +ju 0 +blo "50006,208258" +tm "ONodeName" +) +wait (TextAssociate +uid 1589,0 +ps "CenterOffsetStrategy" +text (Text +uid 1590,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "49756,207958,54856,209358" +st "wait 2" +blo "49756,209158" +tm "SmWaitText" +) +) +encoding (Text +uid 1591,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "50006,208858,50006,208858" +blo "50006,208858" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1594,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1595,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "46706,210458,61306,211858" +) +autoResize 1 +tline (Line +uid 1596,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46806,210358,61206,210358" +pts [ +"46806,210358" +"61206,210358" +] +) +bline (Line +uid 1597,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46806,209758,61206,209758" +pts [ +"46806,209758" +"61206,209758" +] +) +ttri (Triangle +uid 1598,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46356,209983,46706,210333" +) +btri (Triangle +uid 1599,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46356,207583,46706,207933" +) +entryActions (MLText +uid 1600,0 +va (VaSet +) +xt "46806,210158,46806,210158" +tm "Actions" +) +inActions (MLText +uid 1601,0 +va (VaSet +) +xt "46806,210558,61206,211758" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1602,0 +va (VaSet +) +xt "50006,207758,50006,207758" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1592,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1593,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47906,208958,54606,210158" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*44 (State +uid 1603,0 +shape (Circle +uid 1604,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "23669,213275,35221,224827" +radius 5776 +) +name (Text +uid 1605,0 +va (VaSet +font "Verdana,12,1" +) +xt "26345,218351,32545,219751" +st "decel_3" +ju 0 +blo "29445,219551" +tm "ONodeName" +) +wait (TextAssociate +uid 1606,0 +ps "CenterOffsetStrategy" +text (Text +uid 1607,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "29195,219251,34295,220651" +st "wait 2" +blo "29195,220451" +tm "SmWaitText" +) +) +encoding (Text +uid 1608,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29445,220151,29445,220151" +blo "29445,220151" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1611,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1612,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "26145,221751,40745,223151" +) +autoResize 1 +tline (Line +uid 1613,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26245,221651,40645,221651" +pts [ +"26245,221651" +"40645,221651" +] +) +bline (Line +uid 1614,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26245,221051,40645,221051" +pts [ +"26245,221051" +"40645,221051" +] +) +ttri (Triangle +uid 1615,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25795,221276,26145,221626" +) +btri (Triangle +uid 1616,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25795,218876,26145,219226" +) +entryActions (MLText +uid 1617,0 +va (VaSet +) +xt "26245,221451,26245,221451" +tm "Actions" +) +inActions (MLText +uid 1618,0 +va (VaSet +) +xt "26245,221851,40645,223051" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1619,0 +va (VaSet +) +xt "29445,219051,29445,219051" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1609,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1610,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27345,220251,34045,221451" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*45 (State +uid 1620,0 +shape (Circle +uid 1621,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "44318,222445,55870,233997" +radius 5776 +) +name (Text +uid 1622,0 +va (VaSet +font "Verdana,12,1" +) +xt "46994,227521,53194,228921" +st "decel_4" +ju 0 +blo "50094,228721" +tm "ONodeName" +) +wait (TextAssociate +uid 1623,0 +ps "CenterOffsetStrategy" +text (Text +uid 1624,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "49844,228421,54944,229821" +st "wait 2" +blo "49844,229621" +tm "SmWaitText" +) +) +encoding (Text +uid 1625,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "50094,229321,50094,229321" +blo "50094,229321" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1628,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1629,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "46794,230921,61394,232321" +) +autoResize 1 +tline (Line +uid 1630,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46894,230821,61294,230821" +pts [ +"46894,230821" +"61294,230821" +] +) +bline (Line +uid 1631,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46894,230221,61294,230221" +pts [ +"46894,230221" +"61294,230221" +] +) +ttri (Triangle +uid 1632,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46444,230446,46794,230796" +) +btri (Triangle +uid 1633,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46444,228046,46794,228396" +) +entryActions (MLText +uid 1634,0 +va (VaSet +) +xt "46894,230621,46894,230621" +tm "Actions" +) +inActions (MLText +uid 1635,0 +va (VaSet +) +xt "46894,231021,61294,232221" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1636,0 +va (VaSet +) +xt "50094,228221,50094,228221" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1626,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1627,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47994,229421,54694,230621" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*46 (State +uid 1637,0 +shape (Circle +uid 1638,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22492,232688,34044,244240" +radius 5776 +) +name (Text +uid 1639,0 +va (VaSet +font "Verdana,12,1" +) +xt "25168,237764,31368,239164" +st "decel_5" +ju 0 +blo "28268,238964" +tm "ONodeName" +) +wait (TextAssociate +uid 1640,0 +ps "CenterOffsetStrategy" +text (Text +uid 1641,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "28018,238664,33118,240064" +st "wait 2" +blo "28018,239864" +tm "SmWaitText" +) +) +encoding (Text +uid 1642,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "28268,239564,28268,239564" +blo "28268,239564" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1645,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1646,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24968,241164,39568,242564" +) +autoResize 1 +tline (Line +uid 1647,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25068,241064,39468,241064" +pts [ +"25068,241064" +"39468,241064" +] +) +bline (Line +uid 1648,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25068,240464,39468,240464" +pts [ +"25068,240464" +"39468,240464" +] +) +ttri (Triangle +uid 1649,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24618,240689,24968,241039" +) +btri (Triangle +uid 1650,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24618,238289,24968,238639" +) +entryActions (MLText +uid 1651,0 +va (VaSet +) +xt "25068,240864,25068,240864" +tm "Actions" +) +inActions (MLText +uid 1652,0 +va (VaSet +) +xt "25068,241264,39468,242464" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1653,0 +va (VaSet +) +xt "28268,238464,28268,238464" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1643,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1644,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "26168,239664,32868,240864" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*47 (State +uid 1654,0 +shape (Circle +uid 1655,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43393,242121,54945,253673" +radius 5776 +) +name (Text +uid 1656,0 +va (VaSet +font "Verdana,12,1" +) +xt "46069,247197,52269,248597" +st "decel_6" +ju 0 +blo "49169,248397" +tm "ONodeName" +) +wait (TextAssociate +uid 1657,0 +ps "CenterOffsetStrategy" +text (Text +uid 1658,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48919,248097,54019,249497" +st "wait 2" +blo "48919,249297" +tm "SmWaitText" +) +) +encoding (Text +uid 1659,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49169,248997,49169,248997" +blo "49169,248997" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1662,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1663,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45869,250597,60469,251997" +) +autoResize 1 +tline (Line +uid 1664,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45969,250497,60369,250497" +pts [ +"45969,250497" +"60369,250497" +] +) +bline (Line +uid 1665,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45969,249897,60369,249897" +pts [ +"45969,249897" +"60369,249897" +] +) +ttri (Triangle +uid 1666,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45519,250122,45869,250472" +) +btri (Triangle +uid 1667,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45519,247722,45869,248072" +) +entryActions (MLText +uid 1668,0 +va (VaSet +) +xt "45969,250297,45969,250297" +tm "Actions" +) +inActions (MLText +uid 1669,0 +va (VaSet +) +xt "45969,250697,60369,251897" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1670,0 +va (VaSet +) +xt "49169,247897,49169,247897" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1660,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1661,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47069,249097,53769,250297" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*48 (State +uid 1671,0 +shape (Circle +uid 1672,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21727,253166,33279,264718" +radius 5776 +) +name (Text +uid 1673,0 +va (VaSet +font "Verdana,12,1" +) +xt "24403,258242,30603,259642" +st "decel_7" +ju 0 +blo "27503,259442" +tm "ONodeName" +) +wait (TextAssociate +uid 1674,0 +ps "CenterOffsetStrategy" +text (Text +uid 1675,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "27253,259142,32353,260542" +st "wait 2" +blo "27253,260342" +tm "SmWaitText" +) +) +encoding (Text +uid 1676,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27503,260042,27503,260042" +blo "27503,260042" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1679,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1680,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24203,261642,38803,263042" +) +autoResize 1 +tline (Line +uid 1681,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24303,261542,38703,261542" +pts [ +"24303,261542" +"38703,261542" +] +) +bline (Line +uid 1682,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24303,260942,38703,260942" +pts [ +"24303,260942" +"38703,260942" +] +) +ttri (Triangle +uid 1683,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23853,261167,24203,261517" +) +btri (Triangle +uid 1684,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23853,258767,24203,259117" +) +entryActions (MLText +uid 1685,0 +va (VaSet +) +xt "24303,261342,24303,261342" +tm "Actions" +) +inActions (MLText +uid 1686,0 +va (VaSet +) +xt "24303,261742,38703,262942" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1687,0 +va (VaSet +) +xt "27503,258942,27503,258942" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1677,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1678,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "25403,260142,32103,261342" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*49 (State +uid 1688,0 +shape (Circle +uid 1689,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43317,262612,54869,274164" +radius 5776 +) +name (Text +uid 1690,0 +va (VaSet +font "Verdana,12,1" +) +xt "45993,267688,52193,269088" +st "decel_8" +ju 0 +blo "49093,268888" +tm "ONodeName" +) +wait (TextAssociate +uid 1691,0 +ps "CenterOffsetStrategy" +text (Text +uid 1692,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48843,268588,53943,269988" +st "wait 2" +blo "48843,269788" +tm "SmWaitText" +) +) +encoding (Text +uid 1693,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49093,269488,49093,269488" +blo "49093,269488" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1696,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1697,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45793,271088,60393,272488" +) +autoResize 1 +tline (Line +uid 1698,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45893,270988,60293,270988" +pts [ +"45893,270988" +"60293,270988" +] +) +bline (Line +uid 1699,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45893,270388,60293,270388" +pts [ +"45893,270388" +"60293,270388" +] +) +ttri (Triangle +uid 1700,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45443,270613,45793,270963" +) +btri (Triangle +uid 1701,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45443,268213,45793,268563" +) +entryActions (MLText +uid 1702,0 +va (VaSet +) +xt "45893,270788,45893,270788" +tm "Actions" +) +inActions (MLText +uid 1703,0 +va (VaSet +) +xt "45893,271188,60293,272388" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1704,0 +va (VaSet +) +xt "49093,268388,49093,268388" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1694,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1695,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46993,269588,53693,270788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*50 (State +uid 1705,0 +shape (Circle +uid 1706,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21043,271593,32595,283145" +radius 5776 +) +name (Text +uid 1707,0 +va (VaSet +font "Verdana,12,1" +) +xt "23719,276669,29919,278069" +st "decel_9" +ju 0 +blo "26819,277869" +tm "ONodeName" +) +wait (TextAssociate +uid 1708,0 +ps "CenterOffsetStrategy" +text (Text +uid 1709,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "26569,277569,31669,278969" +st "wait 2" +blo "26569,278769" +tm "SmWaitText" +) +) +encoding (Text +uid 1710,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "26819,278469,26819,278469" +blo "26819,278469" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1713,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1714,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "22754,280642,37354,282042" +) +autoResize 1 +tline (Line +uid 1715,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22854,280542,37254,280542" +pts [ +"22854,280542" +"37254,280542" +] +) +bline (Line +uid 1716,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22854,279942,37254,279942" +pts [ +"22854,279942" +"37254,279942" +] +) +ttri (Triangle +uid 1717,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22404,280167,22754,280517" +) +btri (Triangle +uid 1718,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "22404,277767,22754,278117" +) +entryActions (MLText +uid 1719,0 +va (VaSet +) +xt "22854,280342,22854,280342" +tm "Actions" +) +inActions (MLText +uid 1720,0 +va (VaSet +) +xt "22854,280742,37254,281942" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1721,0 +va (VaSet +) +xt "26054,277942,26054,277942" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1711,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1712,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "24719,278569,31419,279769" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 1722,0 +shape (Circle +uid 1723,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "75959,301050,88391,313482" +radius 6216 +) +name (Text +uid 1724,0 +va (VaSet +font "Verdana,12,1" +) +xt "80325,306566,84025,307966" +st "stop" +ju 0 +blo "82175,307766" +tm "ONodeName" +) +wait (TextAssociate +uid 1725,0 +ps "CenterOffsetStrategy" +text (Text +uid 1726,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "81925,307466,87025,308866" +st "wait 2" +blo "81925,308666" +tm "SmWaitText" +) +) +encoding (Text +uid 1727,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "82175,308366,82175,308366" +blo "82175,308366" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1730,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1731,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "82282,310681,82482,310881" +) +autoResize 1 +tline (Line +uid 1732,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "75182,309981,89582,309981" +pts [ +"75182,309981" +"89582,309981" +] +) +bline (Line +uid 1733,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "75182,309381,89582,309381" +pts [ +"75182,309381" +"89582,309381" +] +) +ttri (Triangle +uid 1734,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77932,309006,78282,309356" +) +btri (Triangle +uid 1735,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77932,307206,78282,307556" +) +entryActions (MLText +uid 1736,0 +va (VaSet +) +xt "75182,308581,89582,309781" +tm "Actions" +) +inActions (MLText +uid 1737,0 +va (VaSet +) +xt "75182,310181,89582,311381" +tm "Actions" +) +exitActions (MLText +uid 1738,0 +va (VaSet +) +xt "78382,307381,78382,307381" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1728,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1729,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80075,308466,86775,309666" +st "CASE: expr" +tm "SmCaseExpr" +) +) +impLoop 0 +) +*52 (State +uid 1885,0 +shape (Circle +uid 1886,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "121657,196149,133209,207701" +radius 5776 +) +name (Text +uid 1887,0 +va (VaSet +font "Verdana,12,1" +) +xt "123883,201225,130983,202625" +st "decel_10" +ju 0 +blo "127433,202425" +tm "ONodeName" +) +wait (TextAssociate +uid 1888,0 +ps "CenterOffsetStrategy" +text (Text +uid 1889,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "127183,202125,132283,203525" +st "wait 2" +blo "127183,203325" +tm "SmWaitText" +) +) +encoding (Text +uid 1890,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "127433,203025,127433,203025" +blo "127433,203025" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1893,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1894,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "124133,204625,138733,206025" +) +autoResize 1 +tline (Line +uid 1895,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "124233,204525,138633,204525" +pts [ +"124233,204525" +"138633,204525" +] +) +bline (Line +uid 1896,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "124233,203925,138633,203925" +pts [ +"124233,203925" +"138633,203925" +] +) +ttri (Triangle +uid 1897,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123783,204150,124133,204500" +) +btri (Triangle +uid 1898,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123783,201750,124133,202100" +) +entryActions (MLText +uid 1899,0 +va (VaSet +) +xt "124233,204325,124233,204325" +tm "Actions" +) +inActions (MLText +uid 1900,0 +va (VaSet +) +xt "124233,204725,138633,205925" +st "Power <= \"11100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1901,0 +va (VaSet +) +xt "127433,201925,127433,201925" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1891,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1892,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "125333,203125,132033,204325" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*53 (State +uid 1902,0 +shape (Circle +uid 1903,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140916,204473,152468,216025" +radius 5776 +) +name (Text +uid 1904,0 +va (VaSet +font "Verdana,12,1" +) +xt "143142,209549,150242,210949" +st "decel_11" +ju 0 +blo "146692,210749" +tm "ONodeName" +) +wait (TextAssociate +uid 1905,0 +ps "CenterOffsetStrategy" +text (Text +uid 1906,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "146442,210449,151542,211849" +st "wait 2" +blo "146442,211649" +tm "SmWaitText" +) +) +encoding (Text +uid 1907,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "146692,211349,146692,211349" +blo "146692,211349" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1910,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1911,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "143392,212949,157992,214349" +) +autoResize 1 +tline (Line +uid 1912,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143492,212849,157892,212849" +pts [ +"143492,212849" +"157892,212849" +] +) +bline (Line +uid 1913,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143492,212249,157892,212249" +pts [ +"143492,212249" +"157892,212249" +] +) +ttri (Triangle +uid 1914,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143042,212474,143392,212824" +) +btri (Triangle +uid 1915,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143042,210074,143392,210424" +) +entryActions (MLText +uid 1916,0 +va (VaSet +) +xt "143492,212649,143492,212649" +tm "Actions" +) +inActions (MLText +uid 1917,0 +va (VaSet +) +xt "143492,213049,157892,214249" +st "Power <= \"11001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 1918,0 +va (VaSet +) +xt "146692,210249,146692,210249" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1908,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1909,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "144592,211449,151292,212649" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*54 (State +uid 1919,0 +shape (Circle +uid 1920,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "120355,215766,131907,227318" +radius 5776 +) +name (Text +uid 1921,0 +va (VaSet +font "Verdana,12,1" +) +xt "122581,220842,129681,222242" +st "decel_12" +ju 0 +blo "126131,222042" +tm "ONodeName" +) +wait (TextAssociate +uid 1922,0 +ps "CenterOffsetStrategy" +text (Text +uid 1923,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "125881,221742,130981,223142" +st "wait 2" +blo "125881,222942" +tm "SmWaitText" +) +) +encoding (Text +uid 1924,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "126131,222642,126131,222642" +blo "126131,222642" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1927,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1928,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "122831,224242,137431,225642" +) +autoResize 1 +tline (Line +uid 1929,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122931,224142,137331,224142" +pts [ +"122931,224142" +"137331,224142" +] +) +bline (Line +uid 1930,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122931,223542,137331,223542" +pts [ +"122931,223542" +"137331,223542" +] +) +ttri (Triangle +uid 1931,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122481,223767,122831,224117" +) +btri (Triangle +uid 1932,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122481,221367,122831,221717" +) +entryActions (MLText +uid 1933,0 +va (VaSet +) +xt "122931,223942,122931,223942" +tm "Actions" +) +inActions (MLText +uid 1934,0 +va (VaSet +) +xt "122931,224342,137331,225542" +st "Power <= \"10110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 1935,0 +va (VaSet +) +xt "126131,221542,126131,221542" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1925,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1926,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124031,222742,130731,223942" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*55 (State +uid 1936,0 +shape (Circle +uid 1937,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "141004,224936,152556,236488" +radius 5776 +) +name (Text +uid 1938,0 +va (VaSet +font "Verdana,12,1" +) +xt "143230,230012,150330,231412" +st "decel_13" +ju 0 +blo "146780,231212" +tm "ONodeName" +) +wait (TextAssociate +uid 1939,0 +ps "CenterOffsetStrategy" +text (Text +uid 1940,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "146530,230912,151630,232312" +st "wait 2" +blo "146530,232112" +tm "SmWaitText" +) +) +encoding (Text +uid 1941,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "146780,231812,146780,231812" +blo "146780,231812" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1944,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1945,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "143480,233412,158080,234812" +) +autoResize 1 +tline (Line +uid 1946,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143580,233312,157980,233312" +pts [ +"143580,233312" +"157980,233312" +] +) +bline (Line +uid 1947,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143580,232712,157980,232712" +pts [ +"143580,232712" +"157980,232712" +] +) +ttri (Triangle +uid 1948,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143130,232937,143480,233287" +) +btri (Triangle +uid 1949,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "143130,230537,143480,230887" +) +entryActions (MLText +uid 1950,0 +va (VaSet +) +xt "143580,233112,143580,233112" +tm "Actions" +) +inActions (MLText +uid 1951,0 +va (VaSet +) +xt "143580,233512,157980,234712" +st "Power <= \"10011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 1952,0 +va (VaSet +) +xt "146780,230712,146780,230712" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1942,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1943,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "144680,231912,151380,233112" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*56 (State +uid 1953,0 +shape (Circle +uid 1954,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "119178,235179,130730,246731" +radius 5776 +) +name (Text +uid 1955,0 +va (VaSet +font "Verdana,12,1" +) +xt "121404,240255,128504,241655" +st "decel_14" +ju 0 +blo "124954,241455" +tm "ONodeName" +) +wait (TextAssociate +uid 1956,0 +ps "CenterOffsetStrategy" +text (Text +uid 1957,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "124704,241155,129804,242555" +st "wait 2" +blo "124704,242355" +tm "SmWaitText" +) +) +encoding (Text +uid 1958,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124954,242055,124954,242055" +blo "124954,242055" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1961,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1962,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "121654,243655,136254,245055" +) +autoResize 1 +tline (Line +uid 1963,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121754,243555,136154,243555" +pts [ +"121754,243555" +"136154,243555" +] +) +bline (Line +uid 1964,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121754,242955,136154,242955" +pts [ +"121754,242955" +"136154,242955" +] +) +ttri (Triangle +uid 1965,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121304,243180,121654,243530" +) +btri (Triangle +uid 1966,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121304,240780,121654,241130" +) +entryActions (MLText +uid 1967,0 +va (VaSet +) +xt "121754,243355,121754,243355" +tm "Actions" +) +inActions (MLText +uid 1968,0 +va (VaSet +) +xt "121754,243755,136154,244955" +st "Power <= \"10000000\" ;" +tm "Actions" +) +exitActions (MLText +uid 1969,0 +va (VaSet +) +xt "124954,240955,124954,240955" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1959,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1960,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "122854,242155,129554,243355" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*57 (State +uid 1970,0 +shape (Circle +uid 1971,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140079,244612,151631,256164" +radius 5776 +) +name (Text +uid 1972,0 +va (VaSet +font "Verdana,12,1" +) +xt "142305,249688,149405,251088" +st "decel_15" +ju 0 +blo "145855,250888" +tm "ONodeName" +) +wait (TextAssociate +uid 1973,0 +ps "CenterOffsetStrategy" +text (Text +uid 1974,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "145605,250588,150705,251988" +st "wait 2" +blo "145605,251788" +tm "SmWaitText" +) +) +encoding (Text +uid 1975,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "145855,251488,145855,251488" +blo "145855,251488" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1978,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1979,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "142555,253088,157155,254488" +) +autoResize 1 +tline (Line +uid 1980,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142655,252988,157055,252988" +pts [ +"142655,252988" +"157055,252988" +] +) +bline (Line +uid 1981,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142655,252388,157055,252388" +pts [ +"142655,252388" +"157055,252388" +] +) +ttri (Triangle +uid 1982,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142205,252613,142555,252963" +) +btri (Triangle +uid 1983,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142205,250213,142555,250563" +) +entryActions (MLText +uid 1984,0 +va (VaSet +) +xt "142655,252788,142655,252788" +tm "Actions" +) +inActions (MLText +uid 1985,0 +va (VaSet +) +xt "142655,253188,157055,254388" +st "Power <= \"01100110\" ;" +tm "Actions" +) +exitActions (MLText +uid 1986,0 +va (VaSet +) +xt "145855,250388,145855,250388" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1976,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1977,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "143755,251588,150455,252788" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*58 (State +uid 1987,0 +shape (Circle +uid 1988,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "118413,255657,129965,267209" +radius 5776 +) +name (Text +uid 1989,0 +va (VaSet +font "Verdana,12,1" +) +xt "120639,260733,127739,262133" +st "decel_16" +ju 0 +blo "124189,261933" +tm "ONodeName" +) +wait (TextAssociate +uid 1990,0 +ps "CenterOffsetStrategy" +text (Text +uid 1991,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "123939,261633,129039,263033" +st "wait 2" +blo "123939,262833" +tm "SmWaitText" +) +) +encoding (Text +uid 1992,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "124189,262533,124189,262533" +blo "124189,262533" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1995,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1996,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "120889,264133,135489,265533" +) +autoResize 1 +tline (Line +uid 1997,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120989,264033,135389,264033" +pts [ +"120989,264033" +"135389,264033" +] +) +bline (Line +uid 1998,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120989,263433,135389,263433" +pts [ +"120989,263433" +"135389,263433" +] +) +ttri (Triangle +uid 1999,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120539,263658,120889,264008" +) +btri (Triangle +uid 2000,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120539,261258,120889,261608" +) +entryActions (MLText +uid 2001,0 +va (VaSet +) +xt "120989,263833,120989,263833" +tm "Actions" +) +inActions (MLText +uid 2002,0 +va (VaSet +) +xt "120989,264233,135389,265433" +st "Power <= \"01001101\" ;" +tm "Actions" +) +exitActions (MLText +uid 2003,0 +va (VaSet +) +xt "124189,261433,124189,261433" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1993,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1994,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "122089,262633,128789,263833" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*59 (State +uid 2004,0 +shape (Circle +uid 2005,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "140003,265103,151555,276655" +radius 5776 +) +name (Text +uid 2006,0 +va (VaSet +font "Verdana,12,1" +) +xt "142229,270179,149329,271579" +st "decel_17" +ju 0 +blo "145779,271379" +tm "ONodeName" +) +wait (TextAssociate +uid 2007,0 +ps "CenterOffsetStrategy" +text (Text +uid 2008,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "145529,271079,150629,272479" +st "wait 2" +blo "145529,272279" +tm "SmWaitText" +) +) +encoding (Text +uid 2009,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "145779,271979,145779,271979" +blo "145779,271979" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 2012,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2013,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "142479,273579,157079,274979" +) +autoResize 1 +tline (Line +uid 2014,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142579,273479,156979,273479" +pts [ +"142579,273479" +"156979,273479" +] +) +bline (Line +uid 2015,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142579,272879,156979,272879" +pts [ +"142579,272879" +"156979,272879" +] +) +ttri (Triangle +uid 2016,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142129,273104,142479,273454" +) +btri (Triangle +uid 2017,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "142129,270704,142479,271054" +) +entryActions (MLText +uid 2018,0 +va (VaSet +) +xt "142579,273279,142579,273279" +tm "Actions" +) +inActions (MLText +uid 2019,0 +va (VaSet +) +xt "142579,273679,156979,274879" +st "Power <= \"00110011\" ;" +tm "Actions" +) +exitActions (MLText +uid 2020,0 +va (VaSet +) +xt "145779,270879,145779,270879" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 2010,0 +ps "CenterOffsetStrategy" +text (MLText +uid 2011,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "143679,272079,150379,273279" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*60 (State +uid 2021,0 +shape (Circle +uid 2022,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "117729,274084,129281,285636" +radius 5776 +) +name (Text +uid 2023,0 +va (VaSet +font "Verdana,12,1" +) +xt "119955,279160,127055,280560" +st "decel_18" +ju 0 +blo "123505,280360" +tm "ONodeName" +) +wait (TextAssociate +uid 2024,0 +ps "CenterOffsetStrategy" +text (Text +uid 2025,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "123255,280060,128355,281460" +st "wait 2" +blo "123255,281260" +tm "SmWaitText" +) +) +encoding (Text +uid 2026,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "123505,280960,123505,280960" +blo "123505,280960" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 2029,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2030,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "119440,283133,134040,284533" +) +autoResize 1 +tline (Line +uid 2031,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119540,283033,133940,283033" +pts [ +"119540,283033" +"133940,283033" +] +) +bline (Line +uid 2032,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119540,282433,133940,282433" +pts [ +"119540,282433" +"133940,282433" +] +) +ttri (Triangle +uid 2033,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119090,282658,119440,283008" +) +btri (Triangle +uid 2034,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119090,280258,119440,280608" +) +entryActions (MLText +uid 2035,0 +va (VaSet +) +xt "119540,282833,119540,282833" +tm "Actions" +) +inActions (MLText +uid 2036,0 +va (VaSet +) +xt "119540,283233,133940,284433" +st "Power <= \"00011010\" ;" +tm "Actions" +) +exitActions (MLText +uid 2037,0 +va (VaSet +) +xt "122740,280433,122740,280433" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 2027,0 +ps "CenterOffsetStrategy" +text (MLText +uid 2028,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "121405,281060,128105,282260" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*61 (InterruptPoint +uid 2155,0 +shape (CompositeShape +uid 2156,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 2157,0 +sl 0 +ro 270 +xt "1789,24688,4039,25688" +) +(Line +uid 2158,0 +sl 0 +ro 270 +xt "4039,25188,4539,25188" +pts [ +"4039,25188" +"4539,25188" +] +) +(CustomPolygon +pts [ +"2039,25413" +"2039,25113" +"2689,25238" +"2589,24963" +"3689,25163" +"2864,25163" +"3039,25413" +] +uid 2159,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "2039,24963,3689,25413" +) +] +) +) +*62 (Link +uid 2170,0 +shape (CompositeShape +uid 2171,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 2172,0 +sl 0 +ro 270 +xt "18247,24653,20497,25653" +) +(Line +uid 2173,0 +sl 0 +ro 270 +xt "17747,25153,18247,25153" +pts [ +"17747,25153" +"18247,25153" +] +) +] +) +name (TextAssociate +uid 2174,0 +ps "CenterOffsetStrategy" +text (Text +uid 2175,0 +va (VaSet +font "Verdana,9,1" +) +xt "20997,24653,22697,25853" +st "s0" +blo "20997,25653" +tm "LinkName" +) +) +) +*63 (SmResetPoint +uid 2366,0 +shape (CompositeShape +uid 2367,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 2368,0 +sl 0 +ro 270 +xt "4498,19420,6748,20420" +) +(OrthoPolyLine +uid 2369,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "5398,19620,5997,20220" +pts [ +"5997,19620" +"5697,19620" +"5697,20220" +"5398,20220" +] +) +(Line +uid 2370,0 +sl 0 +ro 270 +xt "4747,19745,4847,19795" +pts [ +"4747,19795" +"4847,19745" +] +) +(Line +uid 2371,0 +sl 0 +ro 270 +xt "4747,19745,4747,20095" +pts [ +"4747,20095" +"4747,19745" +] +) +(Circle +uid 2372,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "5547,19770,5847,20070" +radius 150 +) +] +) +cond (SmControlCondition +uid 2378,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2379,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "6798,36720,13598,38120" +) +autoResize 1 +cond (MLText +uid 2380,0 +va (VaSet +isHidden 1 +) +xt "6898,36820,13498,38020" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 2375,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2376,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "12248,38857,14374,40983" +radius 1063 +) +pr (Text +uid 2377,0 +va (VaSet +isHidden 1 +) +xt "12611,39320,14011,40520" +st "1" +ju 0 +blo "13311,40320" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 2373,0 +ps "CenterOffsetStrategy" +text (Text +uid 2374,0 +va (VaSet +) +xt "1198,19320,4498,20520" +st "reset" +ju 2 +blo "4498,20320" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 2381,0 +ps "CenterOffsetStrategy" +text (MLText +uid 2382,0 +va (VaSet +isHidden 1 +) +xt "18748,45545,27348,46745" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*64 (Link +uid 2383,0 +shape (CompositeShape +uid 2384,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 2385,0 +sl 0 +ro 270 +xt "18248,19420,20498,20420" +) +(Line +uid 2386,0 +sl 0 +ro 270 +xt "17748,19920,18248,19920" +pts [ +"17748,19920" +"18248,19920" +] +) +] +) +name (TextAssociate +uid 2387,0 +ps "CenterOffsetStrategy" +text (Text +uid 2388,0 +va (VaSet +font "Verdana,9,1" +) +xt "20998,19420,22698,20620" +st "s0" +blo "20998,20420" +tm "LinkName" +) +) +) +*65 (SmClockPoint +uid 2389,0 +shape (CompositeShape +uid 2390,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 2391,0 +sl 0 +ro 270 +xt "4498,10420,6748,11420" +) +(OrthoPolyLine +uid 2392,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "5398,10620,5997,11220" +pts [ +"5398,11220" +"5697,11220" +"5697,10620" +"5997,10620" +] +) +(Arc2D +pts [ +"5007,11073" +"4752,10767" +"5007,10767" +] +uid 2393,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "4679,10720,5007,11119" +) +] +) +name (TextAssociate +uid 2394,0 +ps "CenterOffsetStrategy" +text (Text +uid 2395,0 +va (VaSet +) +xt "598,10420,3998,11620" +st "clock" +ju 2 +blo "3998,11420" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 2396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2397,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "6748,10220,24048,11620" +) +autoResize 1 +cond (MLText +uid 2398,0 +va (VaSet +) +xt "6848,10320,23948,11520" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*66 (InterruptPoint +uid 2442,0 +shape (CompositeShape +uid 2443,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 2444,0 +sl 0 +ro 270 +xt "1902,33364,4152,34364" +) +(Line +uid 2445,0 +sl 0 +ro 270 +xt "4152,33864,4652,33864" +pts [ +"4152,33864" +"4652,33864" +] +) +(CustomPolygon +pts [ +"2152,34089" +"2152,33789" +"2802,33914" +"2702,33639" +"3802,33839" +"2977,33839" +"3152,34089" +] +uid 2446,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "2152,33639,3802,34089" +) +] +) +) +*67 (Link +uid 2447,0 +shape (CompositeShape +uid 2448,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 2449,0 +sl 0 +ro 270 +xt "20148,33364,22398,34364" +) +(Line +uid 2450,0 +sl 0 +ro 270 +xt "19648,33864,20148,33864" +pts [ +"19648,33864" +"20148,33864" +] +) +] +) +name (TextAssociate +uid 2451,0 +ps "CenterOffsetStrategy" +text (Text +uid 2452,0 +va (VaSet +font "Verdana,9,1" +) +xt "22898,33364,24598,34564" +st "s0" +blo "22898,34364" +tm "LinkName" +) +) +) +*68 (State +uid 3522,0 +shape (Circle +uid 3523,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54235,7212,61337,14314" +radius 3551 +) +name (Text +uid 3524,0 +va (VaSet +font "Verdana,12,1" +) +xt "56536,10063,59036,11463" +st "s0" +ju 0 +blo "57786,11263" +tm "ONodeName" +) +wait (TextAssociate +uid 3525,0 +ps "CenterOffsetStrategy" +text (Text +uid 3526,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "57536,10963,62636,12363" +st "wait 2" +blo "57536,12163" +tm "SmWaitText" +) +) +encoding (Text +uid 3527,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "57786,11863,57786,11863" +blo "57786,11863" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 3530,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3531,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "45355,14607,59655,18407" +) +autoResize 1 +tline (Line +uid 3532,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45455,14507,59555,14507" +pts [ +"45455,14507" +"59555,14507" +] +) +bline (Line +uid 3533,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45455,15707,59555,15707" +pts [ +"45455,15707" +"59555,15707" +] +) +ttri (Triangle +uid 3534,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45005,14132,45355,14482" +) +btri (Triangle +uid 3535,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "45005,12932,45355,13282" +) +entryActions (MLText +uid 3536,0 +va (VaSet +) +xt "45455,14307,45455,14307" +tm "Actions" +) +inActions (MLText +uid 3537,0 +va (VaSet +) +xt "45455,14707,59555,18307" +st "RaZ <= '0'; +unlock <= '1' ; +Power <= \"00000000\";" +tm "Actions" +) +exitActions (MLText +uid 3538,0 +va (VaSet +) +xt "48505,13107,48505,13107" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 3528,0 +ps "CenterOffsetStrategy" +text (MLText +uid 3529,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55686,11963,62386,13163" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*69 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &3 +end &4 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 272,0 +shape (Spline +uid 273,0 +va (VaSet +vasetType 3 +) +xt "36553,18239,69463,34256" +pts [ +"69463,18239" +"56276,20372" +"41181,25258" +"36553,34256" +] +arrow 1 +) +start &2 +end &17 +cond "button = \"0010\"" +tb (TransitionBlock +uid 274,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 275,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43129,24235,66529,28435" +) +autoResize 1 +lineShape (Line +uid 276,0 +va (VaSet +vasetType 3 +) +xt "43629,26335,66029,26335" +pts [ +"43629,26335" +"66029,26335" +] +) +condition (MLText +uid 277,0 +va (VaSet +) +xt "49979,24735,59679,25935" +st "button = \"0010\"" +tm "Condition" +) +actions (MLText +uid 278,0 +va (VaSet +) +xt "43629,26735,66029,27935" +st "CurrentPos <= \"0000000000000000\";" +tm "Actions" +) +) +tp (TransitionPriority +uid 279,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 280,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "64474,17701,66600,19827" +radius 1063 +) +pr (Text +uid 281,0 +va (VaSet +) +xt "64837,18164,66237,19364" +st "1" +ju 0 +blo "65537,19164" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 282,0 +shape (Spline +uid 283,0 +va (VaSet +vasetType 3 +) +xt "73066,21711,73275,33333" +pts [ +"73066,21711" +"73275,33333" +] +arrow 1 +) +start &2 +end &16 +cond "button = \"0100\"" +tb (TransitionBlock +uid 284,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 285,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "72901,26176,96301,30376" +) +autoResize 1 +lineShape (Line +uid 286,0 +va (VaSet +vasetType 3 +) +xt "73401,28276,95801,28276" +pts [ +"73401,28276" +"95801,28276" +] +) +condition (MLText +uid 287,0 +va (VaSet +) +xt "79751,26676,89451,27876" +st "button = \"0100\"" +tm "Condition" +) +actions (MLText +uid 288,0 +va (VaSet +) +xt "73401,28676,95801,29876" +st "CurrentPos <= \"0000000000000000\";" +tm "Actions" +) +) +tp (TransitionPriority +uid 289,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "72023,21809,74149,23935" +radius 1063 +) +pr (Text +uid 291,0 +va (VaSet +) +xt "72386,22272,73786,23472" +st "3" +ju 0 +blo "73086,23272" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 292,0 +shape (Spline +uid 293,0 +va (VaSet +vasetType 3 +) +xt "76486,18884,120443,30283" +pts [ +"76486,18884" +"114057,21175" +"120443,30283" +] +arrow 1 +) +start &2 +end &18 +cond "button = \"0001\"" +tb (TransitionBlock +uid 294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 295,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113557,20675,124257,22875" +) +autoResize 1 +lineShape (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "116707,22775,116707,22775" +pts [ +"116707,22775" +"116707,22775" +] +) +condition (MLText +uid 297,0 +va (VaSet +) +xt "114057,21175,123757,22375" +st "button = \"0001\"" +tm "Condition" +) +actions (MLText +uid 298,0 +va (VaSet +) +xt "118907,22775,118907,22775" +tm "Actions" +) +) +tp (TransitionPriority +uid 299,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 300,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80402,18005,82528,20131" +radius 1063 +) +pr (Text +uid 301,0 +va (VaSet +) +xt "80765,18468,82165,19668" +st "2" +ju 0 +blo "81465,19468" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 346,0 +shape (Spline +uid 347,0 +va (VaSet +vasetType 3 +) +xt "120081,41630,120705,48021" +pts [ +"120081,41630" +"120705,48021" +] +arrow 1 +) +start &18 +end &19 +cond "sensor1 = '1'" +tb (TransitionBlock +uid 348,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 349,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "119893,44326,128993,46526" +) +autoResize 1 +lineShape (Line +uid 350,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "123043,46426,123043,46426" +pts [ +"123043,46426" +"123043,46426" +] +) +condition (MLText +uid 351,0 +va (VaSet +) +xt "120393,44826,128493,46026" +st "sensor1 = '1'" +tm "Condition" +) +actions (MLText +uid 352,0 +va (VaSet +) +xt "124443,46426,124443,46426" +tm "Actions" +) +) +tp (TransitionPriority +uid 353,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 354,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "119080,41206,121206,43332" +radius 1063 +) +pr (Text +uid 355,0 +va (VaSet +isHidden 1 +) +xt "119443,41669,120843,42869" +st "1" +ju 0 +blo "120143,42669" +tm "TransitionPriority" +) +padding "100,100" +) +) +*74 (Transition +uid 356,0 +shape (Spline +uid 357,0 +va (VaSet +vasetType 3 +) +xt "75904,5305,143678,50697" +pts [ +"124057,50697" +"142872,45913" +"135072,9891" +"89490,6450" +"75904,16106" +] +arrow 1 +) +start &19 +end &2 +ss 0 +es 0 +tb (TransitionBlock +uid 358,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 359,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "134572,9986,140872,10996" +) +autoResize 1 +lineShape (Line +uid 360,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "137722,11491,137722,11491" +pts [ +"137722,11491" +"137722,11491" +] +) +condition (MLText +uid 361,0 +va (VaSet +) +xt "135072,9891,140372,11091" +tm "Condition" +) +actions (MLText +uid 362,0 +va (VaSet +) +xt "137722,11491,137722,11491" +tm "Actions" +) +) +tp (TransitionPriority +uid 363,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 364,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "135227,49238,137353,51364" +radius 1063 +) +pr (Text +uid 365,0 +va (VaSet +isHidden 1 +) +xt "135590,49701,136990,50901" +st "1" +ju 0 +blo "136290,50701" +tm "TransitionPriority" +) +padding "100,100" +) +) +*75 (Transition +uid 434,0 +shape (Spline +uid 435,0 +va (VaSet +vasetType 3 +) +xt "27229,45982,35236,62340" +pts [ +"35236,45982" +"27229,57939" +"34465,62340" +] +arrow 1 +) +start &17 +end &20 +es 0 +cond "Position < Pos1" +tb (TransitionBlock +uid 436,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 437,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "16654,56323,27054,60123" +) +autoResize 1 +lineShape (Line +uid 438,0 +va (VaSet +vasetType 3 +) +xt "17154,58223,26554,58223" +pts [ +"17154,58223" +"26554,58223" +] +) +condition (MLText +uid 439,0 +va (VaSet +) +xt "17154,56623,26554,57823" +st "Position < Pos1" +tm "Condition" +) +actions (MLText +uid 440,0 +va (VaSet +) +xt "17954,58623,25754,59823" +st "sideL <= '0';" +tm "Actions" +) +) +tp (TransitionPriority +uid 441,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "32749,46778,34875,48904" +radius 1063 +) +pr (Text +uid 443,0 +va (VaSet +) +xt "33112,47241,34512,48441" +st "2" +ju 0 +blo "33812,48241" +tm "TransitionPriority" +) +padding "100,100" +) +) +*76 (Transition +uid 444,0 +shape (Spline +uid 445,0 +va (VaSet +vasetType 3 +) +xt "38368,45982,72337,64270" +pts [ +"38392,45982" +"42185,55769" +"72337,64270" +] +arrow 1 +) +start &17 +end &21 +es 0 +cond "Position > Pos1" +tb (TransitionBlock +uid 446,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 447,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "34056,53997,44456,57797" +) +autoResize 1 +lineShape (Line +uid 448,0 +va (VaSet +vasetType 3 +) +xt "34556,55897,43956,55897" +pts [ +"34556,55897" +"43956,55897" +] +) +condition (MLText +uid 449,0 +va (VaSet +) +xt "34556,54297,43956,55497" +st "Position > Pos1" +tm "Condition" +) +actions (MLText +uid 450,0 +va (VaSet +) +xt "35206,56297,43306,57497" +st "sideL <= '1' ;" +tm "Actions" +) +) +tp (TransitionPriority +uid 451,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 452,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "37334,49173,39460,51299" +radius 1063 +) +pr (Text +uid 453,0 +va (VaSet +) +xt "37697,49636,39097,50836" +st "1" +ju 0 +blo "38397,50636" +tm "TransitionPriority" +) +padding "100,100" +) +) +*77 (Transition +uid 1140,0 +shape (Spline +uid 1141,0 +va (VaSet +vasetType 3 +) +xt "42324,82013,50683,86922" +pts [ +"42324,82013" +"50683,86922" +] +arrow 1 +) +start &22 +end &23 +cond "Position >= CurrentPos + 109" +tb (TransitionBlock +uid 1142,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1143,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43556,82071,61856,84271" +) +autoResize 1 +lineShape (Line +uid 1144,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46706,84171,46706,84171" +pts [ +"46706,84171" +"46706,84171" +] +) +condition (MLText +uid 1145,0 +va (VaSet +) +xt "44056,82571,61356,83771" +st "Position >= CurrentPos + 109" +tm "Condition" +) +actions (MLText +uid 1146,0 +va (VaSet +) +xt "52706,84171,52706,84171" +tm "Actions" +) +) +tp (TransitionPriority +uid 1147,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1148,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42096,81440,44222,83566" +radius 1063 +) +pr (Text +uid 1149,0 +va (VaSet +isHidden 1 +) +xt "42459,81903,43859,83103" +st "1" +ju 0 +blo "43159,82903" +tm "TransitionPriority" +) +padding "100,100" +) +) +*78 (Transition +uid 1150,0 +shape (Spline +uid 1151,0 +va (VaSet +vasetType 3 +) +xt "40376,92231,50813,97964" +pts [ +"50813,92231" +"40376,97964" +] +arrow 1 +) +start &23 +end &24 +ss 0 +es 0 +cond "Position >= CurrentPos + 246" +tb (TransitionBlock +uid 1152,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1153,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44054,94353,62354,96553" +) +autoResize 1 +lineShape (Line +uid 1154,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47204,96453,47204,96453" +pts [ +"47204,96453" +"47204,96453" +] +) +condition (MLText +uid 1155,0 +va (VaSet +) +xt "44554,94853,61854,96053" +st "Position >= CurrentPos + 246" +tm "Condition" +) +actions (MLText +uid 1156,0 +va (VaSet +) +xt "53204,96453,53204,96453" +tm "Actions" +) +) +tp (TransitionPriority +uid 1157,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1158,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48706,91740,50832,93866" +radius 1063 +) +pr (Text +uid 1159,0 +va (VaSet +isHidden 1 +) +xt "49069,92203,50469,93403" +st "1" +ju 0 +blo "49769,93203" +tm "TransitionPriority" +) +padding "100,100" +) +) +*79 (Transition +uid 1160,0 +shape (Spline +uid 1161,0 +va (VaSet +vasetType 3 +) +xt "40593,103088,50684,107570" +pts [ +"40593,103088" +"50684,107570" +] +arrow 1 +) +start &24 +end &25 +ss 0 +es 0 +cond "Position >= CurrentPos + 437" +tb (TransitionBlock +uid 1162,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1163,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "45139,104829,63439,107029" +) +autoResize 1 +lineShape (Line +uid 1164,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "48289,106929,48289,106929" +pts [ +"48289,106929" +"48289,106929" +] +) +condition (MLText +uid 1165,0 +va (VaSet +) +xt "45639,105329,62939,106529" +st "Position >= CurrentPos + 437" +tm "Condition" +) +actions (MLText +uid 1166,0 +va (VaSet +) +xt "54289,106929,54289,106929" +tm "Actions" +) +) +tp (TransitionPriority +uid 1167,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1168,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40539,102473,42665,104599" +radius 1063 +) +pr (Text +uid 1169,0 +va (VaSet +isHidden 1 +) +xt "40902,102936,42302,104136" +st "1" +ju 0 +blo "41602,103936" +tm "TransitionPriority" +) +padding "100,100" +) +) +*80 (Transition +uid 1170,0 +shape (Spline +uid 1171,0 +va (VaSet +vasetType 3 +) +xt "39366,112368,50735,117704" +pts [ +"50735,112368" +"39366,117704" +] +arrow 1 +) +start &25 +end &26 +ss 0 +es 0 +cond "Position >= CurrentPos + 684" +tb (TransitionBlock +uid 1172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1173,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44550,114536,62850,116736" +) +autoResize 1 +lineShape (Line +uid 1174,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47700,116636,47700,116636" +pts [ +"47700,116636" +"47700,116636" +] +) +condition (MLText +uid 1175,0 +va (VaSet +) +xt "45050,115036,62350,116236" +st "Position >= CurrentPos + 684" +tm "Condition" +) +actions (MLText +uid 1176,0 +va (VaSet +) +xt "53700,116636,53700,116636" +tm "Actions" +) +) +tp (TransitionPriority +uid 1177,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1178,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48535,111838,50661,113964" +radius 1063 +) +pr (Text +uid 1179,0 +va (VaSet +isHidden 1 +) +xt "48898,112301,50298,113501" +st "1" +ju 0 +blo "49598,113301" +tm "TransitionPriority" +) +padding "100,100" +) +) +*81 (Transition +uid 1180,0 +shape (Spline +uid 1181,0 +va (VaSet +vasetType 3 +) +xt "39401,122533,49774,127214" +pts [ +"39401,122533" +"49774,127214" +] +arrow 1 +) +start &26 +end &27 +ss 0 +es 0 +cond "Position >= CurrentPos + 984" +tb (TransitionBlock +uid 1182,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1183,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44088,124374,62388,126574" +) +autoResize 1 +lineShape (Line +uid 1184,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47238,126474,47238,126474" +pts [ +"47238,126474" +"47238,126474" +] +) +condition (MLText +uid 1185,0 +va (VaSet +) +xt "44588,124874,61888,126074" +st "Position >= CurrentPos + 984" +tm "Condition" +) +actions (MLText +uid 1186,0 +va (VaSet +) +xt "53238,126474,53238,126474" +tm "Actions" +) +) +tp (TransitionPriority +uid 1187,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1188,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39375,121938,41501,124064" +radius 1063 +) +pr (Text +uid 1189,0 +va (VaSet +isHidden 1 +) +xt "39738,122401,41138,123601" +st "1" +ju 0 +blo "40438,123401" +tm "TransitionPriority" +) +padding "100,100" +) +) +*82 (Transition +uid 1190,0 +shape (Spline +uid 1191,0 +va (VaSet +vasetType 3 +) +xt "38518,132213,49892,138012" +pts [ +"49892,132213" +"38518,138012" +] +arrow 1 +) +start &27 +end &28 +ss 0 +es 0 +cond "Position >= CurrentPos + 1340" +tb (TransitionBlock +uid 1192,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1193,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43705,134613,62705,136813" +) +autoResize 1 +lineShape (Line +uid 1194,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46855,136713,46855,136713" +pts [ +"46855,136713" +"46855,136713" +] +) +condition (MLText +uid 1195,0 +va (VaSet +) +xt "44205,135113,62205,136313" +st "Position >= CurrentPos + 1340" +tm "Condition" +) +actions (MLText +uid 1196,0 +va (VaSet +) +xt "53205,136713,53205,136713" +tm "Actions" +) +) +tp (TransitionPriority +uid 1197,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1198,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47692,131729,49818,133855" +radius 1063 +) +pr (Text +uid 1199,0 +va (VaSet +isHidden 1 +) +xt "48055,132192,49455,133392" +st "1" +ju 0 +blo "48755,133192" +tm "TransitionPriority" +) +padding "100,100" +) +) +*83 (Transition +uid 1200,0 +shape (Spline +uid 1201,0 +va (VaSet +vasetType 3 +) +xt "38663,142950,49671,147766" +pts [ +"38663,142950" +"49671,147766" +] +arrow 1 +) +start &28 +end &29 +ss 0 +es 0 +cond "Position >= CurrentPos + 1750" +tb (TransitionBlock +uid 1202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1203,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43667,144858,62667,147058" +) +autoResize 1 +lineShape (Line +uid 1204,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46817,146958,46817,146958" +pts [ +"46817,146958" +"46817,146958" +] +) +condition (MLText +uid 1205,0 +va (VaSet +) +xt "44167,145358,62167,146558" +st "Position >= CurrentPos + 1750" +tm "Condition" +) +actions (MLText +uid 1206,0 +va (VaSet +) +xt "53167,146958,53167,146958" +tm "Actions" +) +) +tp (TransitionPriority +uid 1207,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1208,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38700,142368,40826,144494" +radius 1063 +) +pr (Text +uid 1209,0 +va (VaSet +isHidden 1 +) +xt "39063,142831,40463,144031" +st "1" +ju 0 +blo "39763,143831" +tm "TransitionPriority" +) +padding "100,100" +) +) +*84 (Transition +uid 1210,0 +shape (Spline +uid 1211,0 +va (VaSet +vasetType 3 +) +xt "38045,152240,49605,156902" +pts [ +"49605,152240" +"38045,156902" +] +arrow 1 +) +start &29 +end &30 +ss 0 +es 0 +cond "Position >= CurrentPos + 2215" +tb (TransitionBlock +uid 1212,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1213,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43325,154071,62325,156271" +) +autoResize 1 +lineShape (Line +uid 1214,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46475,156171,46475,156171" +pts [ +"46475,156171" +"46475,156171" +] +) +condition (MLText +uid 1215,0 +va (VaSet +) +xt "43825,154571,61825,155771" +st "Position >= CurrentPos + 2215" +tm "Condition" +) +actions (MLText +uid 1216,0 +va (VaSet +) +xt "52825,156171,52825,156171" +tm "Actions" +) +) +tp (TransitionPriority +uid 1217,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1218,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47386,151643,49512,153769" +radius 1063 +) +pr (Text +uid 1219,0 +va (VaSet +isHidden 1 +) +xt "47749,152106,49149,153306" +st "1" +ju 0 +blo "48449,153106" +tm "TransitionPriority" +) +padding "100,100" +) +) +*85 (Transition +uid 1220,0 +shape (Spline +uid 1221,0 +va (VaSet +vasetType 3 +) +xt "27089,164617,42893,178747" +pts [ +"31108,164617" +"27549,177125" +"42893,178747" +] +arrow 1 +) +start &30 +end &31 +ss 0 +es 0 +cond "Position >= CurrentPos + 2734" +tb (TransitionBlock +uid 1222,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1223,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "17306,171294,36306,173494" +) +autoResize 1 +lineShape (Line +uid 1224,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "20456,173394,20456,173394" +pts [ +"20456,173394" +"20456,173394" +] +) +condition (MLText +uid 1225,0 +va (VaSet +) +xt "17806,171794,35806,172994" +st "Position >= CurrentPos + 2734" +tm "Condition" +) +actions (MLText +uid 1226,0 +va (VaSet +) +xt "26806,173394,26806,173394" +tm "Actions" +) +) +tp (TransitionPriority +uid 1227,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1228,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "28885,166216,31011,168342" +radius 1063 +) +pr (Text +uid 1229,0 +va (VaSet +isHidden 1 +) +xt "29248,166679,30648,167879" +st "1" +ju 0 +blo "29948,167679" +tm "TransitionPriority" +) +padding "100,100" +) +) +*86 (Transition +uid 1259,0 +shape (Spline +uid 1260,0 +va (VaSet +vasetType 3 +) +xt "34370,69056,38281,114386" +pts [ +"38281,69056" +"34370,114386" +] +arrow 1 +) +start &20 +end &26 +ss 0 +tb (TransitionBlock +uid 1261,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1262,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "35825,91816,42125,92826" +) +autoResize 1 +lineShape (Line +uid 1263,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "38975,93321,38975,93321" +pts [ +"38975,93321" +"38975,93321" +] +) +condition (MLText +uid 1264,0 +va (VaSet +) +xt "36325,91721,41625,92921" +tm "Condition" +) +actions (MLText +uid 1265,0 +va (VaSet +) +xt "38975,93321,38975,93321" +tm "Actions" +) +) +tp (TransitionPriority +uid 1266,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1267,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "36826,72525,38952,74651" +radius 1063 +) +pr (Text +uid 1268,0 +va (VaSet +isHidden 1 +) +xt "37189,72988,38589,74188" +st "1" +ju 0 +blo "37889,73988" +tm "TransitionPriority" +) +padding "100,100" +) +) +*87 (Transition +uid 1439,0 +shape (Spline +uid 1440,0 +va (VaSet +vasetType 3 +) +xt "87880,151581,99440,156243" +pts [ +"99440,151581" +"87880,156243" +] +arrow 1 +) +start &39 +end &40 +ss 0 +es 0 +cond "Position <= CurrentPos - 2215" +tb (TransitionBlock +uid 1441,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93160,153412,111960,155612" +) +autoResize 1 +lineShape (Line +uid 1443,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96310,155512,96310,155512" +pts [ +"96310,155512" +"96310,155512" +] +) +condition (MLText +uid 1444,0 +va (VaSet +) +xt "93660,153912,111460,155112" +st "Position <= CurrentPos - 2215" +tm "Condition" +) +actions (MLText +uid 1445,0 +va (VaSet +) +xt "102560,155512,102560,155512" +tm "Actions" +) +) +tp (TransitionPriority +uid 1446,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1447,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "97221,150984,99347,153110" +radius 1063 +) +pr (Text +uid 1448,0 +va (VaSet +isHidden 1 +) +xt "97584,151447,98984,152647" +st "1" +ju 0 +blo "98284,152447" +tm "TransitionPriority" +) +padding "100,100" +) +) +*88 (Transition +uid 1449,0 +shape (Spline +uid 1450,0 +va (VaSet +vasetType 3 +) +xt "82271,164173,99629,187961" +pts [ +"82776,164173" +"83799,187419" +"99629,180638" +] +arrow 1 +) +start &40 +end &41 +ss 0 +es 0 +cond "Position <= CurrentPos - 2734" +tb (TransitionBlock +uid 1451,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1452,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74476,174603,93276,176803" +) +autoResize 1 +lineShape (Line +uid 1453,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "77626,176703,77626,176703" +pts [ +"77626,176703" +"77626,176703" +] +) +condition (MLText +uid 1454,0 +va (VaSet +) +xt "74976,175103,92776,176303" +st "Position <= CurrentPos - 2734" +tm "Condition" +) +actions (MLText +uid 1455,0 +va (VaSet +) +xt "83876,176703,83876,176703" +tm "Actions" +) +) +tp (TransitionPriority +uid 1456,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1457,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "81588,167245,83714,169371" +radius 1063 +) +pr (Text +uid 1458,0 +va (VaSet +isHidden 1 +) +xt "81951,167708,83351,168908" +st "1" +ju 0 +blo "82651,168708" +tm "TransitionPriority" +) +padding "100,100" +) +) +*89 (Transition +uid 1459,0 +shape (Spline +uid 1460,0 +va (VaSet +vasetType 3 +) +xt "90428,102429,100519,106911" +pts [ +"90428,102429" +"100519,106911" +] +arrow 1 +) +start &34 +end &35 +ss 0 +es 0 +cond "Position <= CurrentPos - 437" +tb (TransitionBlock +uid 1461,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1462,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94974,104170,113074,106370" +) +autoResize 1 +lineShape (Line +uid 1463,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "98124,106270,98124,106270" +pts [ +"98124,106270" +"98124,106270" +] +) +condition (MLText +uid 1464,0 +va (VaSet +) +xt "95474,104670,112574,105870" +st "Position <= CurrentPos - 437" +tm "Condition" +) +actions (MLText +uid 1465,0 +va (VaSet +) +xt "104024,106270,104024,106270" +tm "Actions" +) +) +tp (TransitionPriority +uid 1466,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1467,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "90374,101814,92500,103940" +radius 1063 +) +pr (Text +uid 1468,0 +va (VaSet +isHidden 1 +) +xt "90737,102277,92137,103477" +st "1" +ju 0 +blo "91437,103277" +tm "TransitionPriority" +) +padding "100,100" +) +) +*90 (Transition +uid 1469,0 +shape (Spline +uid 1470,0 +va (VaSet +vasetType 3 +) +xt "89236,121874,99609,126555" +pts [ +"89236,121874" +"99609,126555" +] +arrow 1 +) +start &36 +end &37 +ss 0 +es 0 +cond "Position <= CurrentPos - 984" +tb (TransitionBlock +uid 1471,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1472,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93923,123715,112023,125915" +) +autoResize 1 +lineShape (Line +uid 1473,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97073,125815,97073,125815" +pts [ +"97073,125815" +"97073,125815" +] +) +condition (MLText +uid 1474,0 +va (VaSet +) +xt "94423,124215,111523,125415" +st "Position <= CurrentPos - 984" +tm "Condition" +) +actions (MLText +uid 1475,0 +va (VaSet +) +xt "102973,125815,102973,125815" +tm "Actions" +) +) +tp (TransitionPriority +uid 1476,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1477,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "89210,121279,91336,123405" +radius 1063 +) +pr (Text +uid 1478,0 +va (VaSet +isHidden 1 +) +xt "89573,121742,90973,122942" +st "1" +ju 0 +blo "90273,122742" +tm "TransitionPriority" +) +padding "100,100" +) +) +*91 (Transition +uid 1479,0 +shape (Spline +uid 1480,0 +va (VaSet +vasetType 3 +) +xt "88353,131554,99727,137353" +pts [ +"99727,131554" +"88353,137353" +] +arrow 1 +) +start &37 +end &38 +ss 0 +es 0 +cond "Position <= CurrentPos - 1340" +tb (TransitionBlock +uid 1481,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1482,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93540,133954,112340,136154" +) +autoResize 1 +lineShape (Line +uid 1483,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96690,136054,96690,136054" +pts [ +"96690,136054" +"96690,136054" +] +) +condition (MLText +uid 1484,0 +va (VaSet +) +xt "94040,134454,111840,135654" +st "Position <= CurrentPos - 1340" +tm "Condition" +) +actions (MLText +uid 1485,0 +va (VaSet +) +xt "102940,136054,102940,136054" +tm "Actions" +) +) +tp (TransitionPriority +uid 1486,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1487,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "97527,131070,99653,133196" +radius 1063 +) +pr (Text +uid 1488,0 +va (VaSet +isHidden 1 +) +xt "97890,131533,99290,132733" +st "1" +ju 0 +blo "98590,132533" +tm "TransitionPriority" +) +padding "100,100" +) +) +*92 (Transition +uid 1489,0 +shape (Spline +uid 1490,0 +va (VaSet +vasetType 3 +) +xt "89201,111709,100570,117045" +pts [ +"100570,111709" +"89201,117045" +] +arrow 1 +) +start &35 +end &36 +ss 0 +es 0 +cond "Position <= CurrentPos - 684" +tb (TransitionBlock +uid 1491,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "94385,113877,112485,116077" +) +autoResize 1 +lineShape (Line +uid 1493,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97535,115977,97535,115977" +pts [ +"97535,115977" +"97535,115977" +] +) +condition (MLText +uid 1494,0 +va (VaSet +) +xt "94885,114377,111985,115577" +st "Position <= CurrentPos - 684" +tm "Condition" +) +actions (MLText +uid 1495,0 +va (VaSet +) +xt "103435,115977,103435,115977" +tm "Actions" +) +) +tp (TransitionPriority +uid 1496,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1497,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98370,111179,100496,113305" +radius 1063 +) +pr (Text +uid 1498,0 +va (VaSet +isHidden 1 +) +xt "98733,111642,100133,112842" +st "1" +ju 0 +blo "99433,112642" +tm "TransitionPriority" +) +padding "100,100" +) +) +*93 (Transition +uid 1499,0 +shape (Spline +uid 1500,0 +va (VaSet +vasetType 3 +) +xt "92159,81354,100518,86263" +pts [ +"92159,81354" +"100518,86263" +] +arrow 1 +) +start &32 +end &33 +cond "Position <= CurrentPos - 109" +tb (TransitionBlock +uid 1501,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1502,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93391,81412,111491,83612" +) +autoResize 1 +lineShape (Line +uid 1503,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96541,83512,96541,83512" +pts [ +"96541,83512" +"96541,83512" +] +) +condition (MLText +uid 1504,0 +va (VaSet +) +xt "93891,81912,110991,83112" +st "Position <= CurrentPos - 109" +tm "Condition" +) +actions (MLText +uid 1505,0 +va (VaSet +) +xt "102441,83512,102441,83512" +tm "Actions" +) +) +tp (TransitionPriority +uid 1506,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1507,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "91931,80781,94057,82907" +radius 1063 +) +pr (Text +uid 1508,0 +va (VaSet +isHidden 1 +) +xt "92294,81244,93694,82444" +st "1" +ju 0 +blo "92994,82244" +tm "TransitionPriority" +) +padding "100,100" +) +) +*94 (Transition +uid 1509,0 +shape (Spline +uid 1510,0 +va (VaSet +vasetType 3 +) +xt "90211,91572,100648,97305" +pts [ +"100648,91572" +"90211,97305" +] +arrow 1 +) +start &33 +end &34 +ss 0 +es 0 +cond "Position <= CurrentPos - 246" +tb (TransitionBlock +uid 1511,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1512,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93889,93694,111989,95894" +) +autoResize 1 +lineShape (Line +uid 1513,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97039,95794,97039,95794" +pts [ +"97039,95794" +"97039,95794" +] +) +condition (MLText +uid 1514,0 +va (VaSet +) +xt "94389,94194,111489,95394" +st "Position <= CurrentPos - 246" +tm "Condition" +) +actions (MLText +uid 1515,0 +va (VaSet +) +xt "102939,95794,102939,95794" +tm "Actions" +) +) +tp (TransitionPriority +uid 1516,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1517,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "98541,91081,100667,93207" +radius 1063 +) +pr (Text +uid 1518,0 +va (VaSet +isHidden 1 +) +xt "98904,91544,100304,92744" +st "1" +ju 0 +blo "99604,92544" +tm "TransitionPriority" +) +padding "100,100" +) +) +*95 (Transition +uid 1519,0 +shape (Spline +uid 1520,0 +va (VaSet +vasetType 3 +) +xt "88498,142291,99506,147107" +pts [ +"88498,142291" +"99506,147107" +] +arrow 1 +) +start &38 +end &39 +ss 0 +es 0 +cond "Position <= CurrentPos - 1750" +tb (TransitionBlock +uid 1521,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1522,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "93502,144199,112302,146399" +) +autoResize 1 +lineShape (Line +uid 1523,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "96652,146299,96652,146299" +pts [ +"96652,146299" +"96652,146299" +] +) +condition (MLText +uid 1524,0 +va (VaSet +) +xt "94002,144699,111802,145899" +st "Position <= CurrentPos - 1750" +tm "Condition" +) +actions (MLText +uid 1525,0 +va (VaSet +) +xt "102902,146299,102902,146299" +tm "Actions" +) +) +tp (TransitionPriority +uid 1526,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1527,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "88535,141709,90661,143835" +radius 1063 +) +pr (Text +uid 1528,0 +va (VaSet +isHidden 1 +) +xt "88898,142172,90298,143372" +st "1" +ju 0 +blo "89598,143172" +tm "TransitionPriority" +) +padding "100,100" +) +) +*96 (Transition +uid 1529,0 +shape (Spline +uid 1530,0 +va (VaSet +vasetType 3 +) +xt "76569,69623,83124,113785" +pts [ +"76569,69623" +"83124,113785" +] +arrow 1 +) +start &21 +end &36 +es 0 +tb (TransitionBlock +uid 1531,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1532,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "79347,91799,85647,92809" +) +autoResize 1 +lineShape (Line +uid 1533,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "82497,93304,82497,93304" +pts [ +"82497,93304" +"82497,93304" +] +) +condition (MLText +uid 1534,0 +va (VaSet +) +xt "79847,91704,85147,92904" +tm "Condition" +) +actions (MLText +uid 1535,0 +va (VaSet +) +xt "82497,93304,82497,93304" +tm "Actions" +) +) +tp (TransitionPriority +uid 1536,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1537,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "76161,72975,78287,75101" +radius 1063 +) +pr (Text +uid 1538,0 +va (VaSet +isHidden 1 +) +xt "76524,73438,77924,74638" +st "1" +ju 0 +blo "77224,74438" +tm "TransitionPriority" +) +padding "100,100" +) +) +*97 (Transition +uid 1539,0 +shape (Spline +uid 1540,0 +va (VaSet +vasetType 3 +) +xt "42817,46833,73332,63158" +pts [ +"73332,46833" +"53644,58692" +"42817,63158" +] +arrow 1 +) +start &16 +end &20 +es 0 +cond "Position < Pos2" +tb (TransitionBlock +uid 1541,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1542,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "55461,49734,65861,53534" +) +autoResize 1 +lineShape (Line +uid 1543,0 +va (VaSet +vasetType 3 +) +xt "55961,51634,65361,51634" +pts [ +"55961,51634" +"65361,51634" +] +) +condition (MLText +uid 1544,0 +va (VaSet +) +xt "55961,50034,65361,51234" +st "Position < Pos2" +tm "Condition" +) +actions (MLText +uid 1545,0 +va (VaSet +) +xt "56761,52034,64561,53234" +st "sideL <= '0';" +tm "Actions" +) +) +tp (TransitionPriority +uid 1546,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1547,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "69311,47586,71437,49712" +radius 1063 +) +pr (Text +uid 1548,0 +va (VaSet +) +xt "69674,48049,71074,49249" +st "2" +ju 0 +blo "70374,49049" +tm "TransitionPriority" +) +padding "100,100" +) +) +*98 (Transition +uid 1549,0 +shape (Spline +uid 1550,0 +va (VaSet +vasetType 3 +) +xt "76779,46833,81007,61271" +pts [ +"76779,46833" +"80991,54579" +"78234,61271" +] +arrow 1 +) +start &16 +end &21 +ss 0 +es 0 +cond "Position > Pos2" +tb (TransitionBlock +uid 1551,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1552,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80491,54279,90891,58079" +) +autoResize 1 +lineShape (Line +uid 1553,0 +va (VaSet +vasetType 3 +) +xt "80991,56179,90391,56179" +pts [ +"80991,56179" +"90391,56179" +] +) +condition (MLText +uid 1554,0 +va (VaSet +) +xt "80991,54579,90391,55779" +st "Position > Pos2" +tm "Condition" +) +actions (MLText +uid 1555,0 +va (VaSet +) +xt "81641,56579,89741,57779" +st "sideL <= '1' ;" +tm "Actions" +) +) +tp (TransitionPriority +uid 1556,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1557,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "76598,47130,78724,49256" +radius 1063 +) +pr (Text +uid 1558,0 +va (VaSet +) +xt "76961,47593,78361,48793" +st "1" +ju 0 +blo "77661,48593" +tm "TransitionPriority" +) +padding "100,100" +) +) +*99 (Transition +uid 1739,0 +shape (Spline +uid 1740,0 +va (VaSet +vasetType 3 +) +xt "32176,270547,43736,275209" +pts [ +"43736,270547" +"32176,275209" +] +arrow 1 +) +start &49 +end &50 +ss 0 +es 0 +cond "(Position >= Pos1 - 109 AND button = \"0010\") OR (Position >= Pos2 - 109 AND button = \"0100\")" +tb (TransitionBlock +uid 1741,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1742,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29133,272990,24867,275190" +) +autoResize 1 +lineShape (Line +uid 1743,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25983,275090,-25983,275090" +pts [ +"-25983,275090" +"-25983,275090" +] +) +condition (MLText +uid 1744,0 +va (VaSet +) +xt "-28633,273490,24367,274690" +st "(Position >= Pos1 - 109 AND button = \"0010\") OR (Position >= Pos2 - 109 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1745,0 +va (VaSet +) +xt "-2133,275090,-2133,275090" +tm "Actions" +) +) +tp (TransitionPriority +uid 1746,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1747,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "41517,269950,43643,272076" +radius 1063 +) +pr (Text +uid 1748,0 +va (VaSet +isHidden 1 +) +xt "41880,270413,43280,271613" +st "1" +ju 0 +blo "42580,271413" +tm "TransitionPriority" +) +padding "100,100" +) +) +*100 (Transition +uid 1749,0 +shape (Spline +uid 1750,0 +va (VaSet +vasetType 3 +) +xt "31901,280113,76706,304313" +pts [ +"31901,280113" +"76706,304313" +] +arrow 1 +) +start &50 +end &51 +ss 0 +es 0 +cond "(Position >= Pos1 AND button = \"0010\") OR (Position >= Pos2 AND button = \"0100\")" +tb (TransitionBlock +uid 1751,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1752,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2173,293431,45427,295631" +) +autoResize 1 +lineShape (Line +uid 1753,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "977,295531,977,295531" +pts [ +"977,295531" +"977,295531" +] +) +condition (MLText +uid 1754,0 +va (VaSet +) +xt "-1673,293931,44927,295131" +st "(Position >= Pos1 AND button = \"0010\") OR (Position >= Pos2 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1755,0 +va (VaSet +) +xt "21627,295531,21627,295531" +tm "Actions" +) +) +tp (TransitionPriority +uid 1756,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1757,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35318,281469,37444,283595" +radius 1063 +) +pr (Text +uid 1758,0 +va (VaSet +isHidden 1 +) +xt "35681,281932,37081,283132" +st "1" +ju 0 +blo "36381,282932" +tm "TransitionPriority" +) +padding "100,100" +) +) +*101 (Transition +uid 1759,0 +shape (Spline +uid 1760,0 +va (VaSet +vasetType 3 +) +xt "34724,221395,44815,225877" +pts [ +"34724,221395" +"44815,225877" +] +arrow 1 +) +start &44 +end &45 +ss 0 +es 0 +cond "(Position >= Pos1 - 1340 AND button = \"0010\") OR (Position >= Pos2 - 1340 AND button = \"0100\")" +tb (TransitionBlock +uid 1761,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1762,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29577,224383,25823,226583" +) +autoResize 1 +lineShape (Line +uid 1763,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26427,226483,-26427,226483" +pts [ +"-26427,226483" +"-26427,226483" +] +) +condition (MLText +uid 1764,0 +va (VaSet +) +xt "-29077,224883,25323,226083" +st "(Position >= Pos1 - 1340 AND button = \"0010\") OR (Position >= Pos2 - 1340 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1765,0 +va (VaSet +) +xt "-1877,226483,-1877,226483" +tm "Actions" +) +) +tp (TransitionPriority +uid 1766,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1767,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "34670,220780,36796,222906" +radius 1063 +) +pr (Text +uid 1768,0 +va (VaSet +isHidden 1 +) +xt "35033,221243,36433,222443" +st "1" +ju 0 +blo "35733,222243" +tm "TransitionPriority" +) +padding "100,100" +) +) +*102 (Transition +uid 1769,0 +shape (Spline +uid 1770,0 +va (VaSet +vasetType 3 +) +xt "33532,240840,43905,245521" +pts [ +"33532,240840" +"43905,245521" +] +arrow 1 +) +start &46 +end &47 +ss 0 +es 0 +cond "(Position >= Pos1 - 684 AND button = \"0010\") OR (Position >= Pos2 - 684 AND button = \"0100\")" +tb (TransitionBlock +uid 1771,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1772,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29687,244493,24313,246693" +) +autoResize 1 +lineShape (Line +uid 1773,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26537,246593,-26537,246593" +pts [ +"-26537,246593" +"-26537,246593" +] +) +condition (MLText +uid 1774,0 +va (VaSet +) +xt "-29187,244993,23813,246193" +st "(Position >= Pos1 - 684 AND button = \"0010\") OR (Position >= Pos2 - 684 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1775,0 +va (VaSet +) +xt "-2687,246593,-2687,246593" +tm "Actions" +) +) +tp (TransitionPriority +uid 1776,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1777,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33506,240245,35632,242371" +radius 1063 +) +pr (Text +uid 1778,0 +va (VaSet +isHidden 1 +) +xt "33869,240708,35269,241908" +st "1" +ju 0 +blo "34569,241708" +tm "TransitionPriority" +) +padding "100,100" +) +) +*103 (Transition +uid 1779,0 +shape (Spline +uid 1780,0 +va (VaSet +vasetType 3 +) +xt "51894,252989,78331,302382" +pts [ +"51894,252989" +"78331,302382" +] +arrow 1 +) +start &47 +end &51 +ss 0 +cond "(Position >= Pos1 - 437 AND button = \"0010\") OR (Position >= Pos2 - 437 AND button = \"0100\")" +tb (TransitionBlock +uid 1781,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1782,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-2493,276950,51507,279150" +) +autoResize 1 +lineShape (Line +uid 1783,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "657,279050,657,279050" +pts [ +"657,279050" +"657,279050" +] +) +condition (MLText +uid 1784,0 +va (VaSet +) +xt "-1993,277450,51007,278650" +st "(Position >= Pos1 - 437 AND button = \"0010\") OR (Position >= Pos2 - 437 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1785,0 +va (VaSet +) +xt "24507,279050,24507,279050" +tm "Actions" +) +) +tp (TransitionPriority +uid 1786,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1787,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "53474,256865,55600,258991" +radius 1063 +) +pr (Text +uid 1788,0 +va (VaSet +isHidden 1 +) +xt "53837,257328,55237,258528" +st "1" +ju 0 +blo "54537,258328" +tm "TransitionPriority" +) +padding "100,100" +) +) +*104 (Transition +uid 1789,0 +shape (Spline +uid 1790,0 +va (VaSet +vasetType 3 +) +xt "33497,230675,44866,236011" +pts [ +"44866,230675" +"33497,236011" +] +arrow 1 +) +start &45 +end &46 +ss 0 +es 0 +cond "(Position >= Pos1 - 984 AND button = \"0010\") OR (Position >= Pos2 - 984 AND button = \"0100\")" +tb (TransitionBlock +uid 1791,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1792,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29437,233313,24563,235513" +) +autoResize 1 +lineShape (Line +uid 1793,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26287,235413,-26287,235413" +pts [ +"-26287,235413" +"-26287,235413" +] +) +condition (MLText +uid 1794,0 +va (VaSet +) +xt "-28937,233813,24063,235013" +st "(Position >= Pos1 - 984 AND button = \"0010\") OR (Position >= Pos2 - 984 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1795,0 +va (VaSet +) +xt "-2437,235413,-2437,235413" +tm "Actions" +) +) +tp (TransitionPriority +uid 1796,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1797,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42666,230145,44792,232271" +radius 1063 +) +pr (Text +uid 1798,0 +va (VaSet +isHidden 1 +) +xt "43029,230608,44429,231808" +st "1" +ju 0 +blo "43729,231608" +tm "TransitionPriority" +) +padding "100,100" +) +) +*105 (Transition +uid 1799,0 +shape (Spline +uid 1800,0 +va (VaSet +vasetType 3 +) +xt "36455,200320,44814,205229" +pts [ +"36455,200320" +"44814,205229" +] +arrow 1 +) +start &42 +end &43 +cond "(Position >= Pos1 - 2215 AND button = \"0010\") OR (Position >= Pos2 - 2215 AND button = \"0100\")" +tb (TransitionBlock +uid 1801,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1802,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-28746,204476,26654,206676" +) +autoResize 1 +lineShape (Line +uid 1803,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25596,206576,-25596,206576" +pts [ +"-25596,206576" +"-25596,206576" +] +) +condition (MLText +uid 1804,0 +va (VaSet +) +xt "-28246,204976,26154,206176" +st "(Position >= Pos1 - 2215 AND button = \"0010\") OR (Position >= Pos2 - 2215 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1805,0 +va (VaSet +) +xt "-1046,206576,-1046,206576" +tm "Actions" +) +) +tp (TransitionPriority +uid 1806,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1807,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "36227,199747,38353,201873" +radius 1063 +) +pr (Text +uid 1808,0 +va (VaSet +isHidden 1 +) +xt "36590,200210,37990,201410" +st "1" +ju 0 +blo "37290,201210" +tm "TransitionPriority" +) +padding "100,100" +) +) +*106 (Transition +uid 1809,0 +shape (Spline +uid 1810,0 +va (VaSet +vasetType 3 +) +xt "34507,210538,44944,216271" +pts [ +"44944,210538" +"34507,216271" +] +arrow 1 +) +start &43 +end &44 +ss 0 +es 0 +cond "(Position >= Pos1 - 1750 AND button = \"0010\") OR (Position >= Pos2 - 1750 AND button = \"0100\")" +tb (TransitionBlock +uid 1811,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1812,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-28686,212872,26714,215072" +) +autoResize 1 +lineShape (Line +uid 1813,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-25536,214972,-25536,214972" +pts [ +"-25536,214972" +"-25536,214972" +] +) +condition (MLText +uid 1814,0 +va (VaSet +) +xt "-28186,213372,26214,214572" +st "(Position >= Pos1 - 1750 AND button = \"0010\") OR (Position >= Pos2 - 1750 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1815,0 +va (VaSet +) +xt "-986,214972,-986,214972" +tm "Actions" +) +) +tp (TransitionPriority +uid 1816,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1817,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42837,210047,44963,212173" +radius 1063 +) +pr (Text +uid 1818,0 +va (VaSet +isHidden 1 +) +xt "43200,210510,44600,211710" +st "1" +ju 0 +blo "43900,211510" +tm "TransitionPriority" +) +padding "100,100" +) +) +*107 (Transition +uid 1819,0 +shape (Spline +uid 1820,0 +va (VaSet +vasetType 3 +) +xt "32794,261257,43802,266073" +pts [ +"32794,261257" +"43802,266073" +] +arrow 1 +) +start &48 +end &49 +ss 0 +es 0 +cond "(Position >= Pos1 - 246 AND button = \"0010\") OR (Position >= Pos2 - 246 AND button = \"0100\")" +tb (TransitionBlock +uid 1821,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1822,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-29473,263706,24527,265906" +) +autoResize 1 +lineShape (Line +uid 1823,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-26323,265806,-26323,265806" +pts [ +"-26323,265806" +"-26323,265806" +] +) +condition (MLText +uid 1824,0 +va (VaSet +) +xt "-28973,264206,24027,265406" +st "(Position >= Pos1 - 246 AND button = \"0010\") OR (Position >= Pos2 - 246 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1825,0 +va (VaSet +) +xt "-2473,265806,-2473,265806" +tm "Actions" +) +) +tp (TransitionPriority +uid 1826,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1827,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "32831,260675,34957,262801" +radius 1063 +) +pr (Text +uid 1828,0 +va (VaSet +isHidden 1 +) +xt "33194,261138,34594,262338" +st "1" +ju 0 +blo "33894,262138" +tm "TransitionPriority" +) +padding "100,100" +) +) +*108 (Transition +uid 1829,0 +shape (Spline +uid 1830,0 +va (VaSet +vasetType 3 +) +xt "30832,185603,49628,193712" +pts [ +"48665,185603" +"48338,193262" +"32260,188471" +"31536,193712" +] +arrow 1 +) +start &31 +end &42 +es 0 +cond "(Position >= Pos1 - 2734 AND button = \"0010\") OR (Position >= Pos2 - 2734 AND button = \"0100\")" +tb (TransitionBlock +uid 1831,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1832,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-16975,190209,38425,192409" +) +autoResize 1 +lineShape (Line +uid 1833,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-13825,192309,-13825,192309" +pts [ +"-13825,192309" +"-13825,192309" +] +) +condition (MLText +uid 1834,0 +va (VaSet +) +xt "-16475,190709,37925,191909" +st "(Position >= Pos1 - 2734 AND button = \"0010\") OR (Position >= Pos2 - 2734 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 1835,0 +va (VaSet +) +xt "10725,192309,10725,192309" +tm "Actions" +) +) +tp (TransitionPriority +uid 1836,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1837,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48239,187609,50365,189735" +radius 1063 +) +pr (Text +uid 1838,0 +va (VaSet +isHidden 1 +) +xt "48602,188072,50002,189272" +st "1" +ju 0 +blo "49302,189072" +tm "TransitionPriority" +) +padding "100,100" +) +) +*109 (Transition +uid 2055,0 +shape (Spline +uid 2056,0 +va (VaSet +vasetType 3 +) +xt "128862,273038,140422,277700" +pts [ +"140422,273038" +"128862,277700" +] +arrow 1 +) +start &59 +end &60 +ss 0 +es 0 +cond "(Position <= Pos1 + 109 AND button = \"0010\") OR (Position <= Pos2 + 109 AND button = \"0100\")" +tb (TransitionBlock +uid 2057,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2058,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67553,275481,121953,277681" +) +autoResize 1 +lineShape (Line +uid 2059,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70703,277581,70703,277581" +pts [ +"70703,277581" +"70703,277581" +] +) +condition (MLText +uid 2060,0 +va (VaSet +) +xt "68053,275981,121453,277181" +st "(Position <= Pos1 + 109 AND button = \"0010\") OR (Position <= Pos2 + 109 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2061,0 +va (VaSet +) +xt "94753,277581,94753,277581" +tm "Actions" +) +) +tp (TransitionPriority +uid 2062,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2063,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "138203,272441,140329,274567" +radius 1063 +) +pr (Text +uid 2064,0 +va (VaSet +isHidden 1 +) +xt "138566,272904,139966,274104" +st "1" +ju 0 +blo "139266,273904" +tm "TransitionPriority" +) +padding "100,100" +) +) +*110 (Transition +uid 2065,0 +shape (Spline +uid 2066,0 +va (VaSet +vasetType 3 +) +xt "130183,233166,141552,238502" +pts [ +"141552,233166" +"130183,238502" +] +arrow 1 +) +start &55 +end &56 +ss 0 +es 0 +cond "(Position <= Pos1 + 984 AND button = \"0010\") OR (Position <= Pos2 + 984 AND button = \"0100\")" +tb (TransitionBlock +uid 2067,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2068,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67249,235804,121649,238004" +) +autoResize 1 +lineShape (Line +uid 2069,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70399,237904,70399,237904" +pts [ +"70399,237904" +"70399,237904" +] +) +condition (MLText +uid 2070,0 +va (VaSet +) +xt "67749,236304,121149,237504" +st "(Position <= Pos1 + 984 AND button = \"0010\") OR (Position <= Pos2 + 984 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2071,0 +va (VaSet +) +xt "94449,237904,94449,237904" +tm "Actions" +) +) +tp (TransitionPriority +uid 2072,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2073,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139352,232636,141478,234762" +radius 1063 +) +pr (Text +uid 2074,0 +va (VaSet +isHidden 1 +) +xt "139715,233099,141115,234299" +st "1" +ju 0 +blo "140415,234099" +tm "TransitionPriority" +) +padding "100,100" +) +) +*111 (Transition +uid 2075,0 +shape (Spline +uid 2076,0 +va (VaSet +vasetType 3 +) +xt "131410,223886,141501,228368" +pts [ +"131410,223886" +"141501,228368" +] +arrow 1 +) +start &54 +end &55 +ss 0 +es 0 +cond "(Position <= Pos1 + 1340 AND button = \"0010\") OR (Position <= Pos2 + 1340 AND button = \"0100\")" +tb (TransitionBlock +uid 2077,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2078,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67109,226874,122909,229074" +) +autoResize 1 +lineShape (Line +uid 2079,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70259,228974,70259,228974" +pts [ +"70259,228974" +"70259,228974" +] +) +condition (MLText +uid 2080,0 +va (VaSet +) +xt "67609,227374,122409,228574" +st "(Position <= Pos1 + 1340 AND button = \"0010\") OR (Position <= Pos2 + 1340 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2081,0 +va (VaSet +) +xt "95009,228974,95009,228974" +tm "Actions" +) +) +tp (TransitionPriority +uid 2082,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2083,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "131356,223271,133482,225397" +radius 1063 +) +pr (Text +uid 2084,0 +va (VaSet +isHidden 1 +) +xt "131719,223734,133119,224934" +st "1" +ju 0 +blo "132419,224734" +tm "TransitionPriority" +) +padding "100,100" +) +) +*112 (Transition +uid 2085,0 +shape (Spline +uid 2086,0 +va (VaSet +vasetType 3 +) +xt "87355,283052,118692,303831" +pts [ +"118692,283052" +"87355,303831" +] +arrow 1 +) +start &60 +end &51 +ss 0 +es 0 +cond "(Position <= Pos1 AND button = \"0010\") OR (Position <= Pos2 AND button = \"0100\")" +tb (TransitionBlock +uid 2087,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2088,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78163,294843,125763,297043" +) +autoResize 1 +lineShape (Line +uid 2089,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "81313,296943,81313,296943" +pts [ +"81313,296943" +"81313,296943" +] +) +condition (MLText +uid 2090,0 +va (VaSet +) +xt "78663,295343,125263,296543" +st "(Position <= Pos1 AND button = \"0010\") OR (Position <= Pos2 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2091,0 +va (VaSet +) +xt "101963,296943,101963,296943" +tm "Actions" +) +) +tp (TransitionPriority +uid 2092,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2093,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "114495,284066,116621,286192" +radius 1063 +) +pr (Text +uid 2094,0 +va (VaSet +isHidden 1 +) +xt "114858,284529,116258,285729" +st "1" +ju 0 +blo "115558,285529" +tm "TransitionPriority" +) +padding "100,100" +) +) +*113 (Transition +uid 2095,0 +shape (Spline +uid 2096,0 +va (VaSet +vasetType 3 +) +xt "130218,243331,140591,248012" +pts [ +"130218,243331" +"140591,248012" +] +arrow 1 +) +start &56 +end &57 +ss 0 +es 0 +cond "(Position <= Pos1 + 684 AND button = \"0010\") OR (Position <= Pos2 + 684 AND button = \"0100\")" +tb (TransitionBlock +uid 2097,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2098,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "66999,246984,121399,249184" +) +autoResize 1 +lineShape (Line +uid 2099,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70149,249084,70149,249084" +pts [ +"70149,249084" +"70149,249084" +] +) +condition (MLText +uid 2100,0 +va (VaSet +) +xt "67499,247484,120899,248684" +st "(Position <= Pos1 + 684 AND button = \"0010\") OR (Position <= Pos2 + 684 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2101,0 +va (VaSet +) +xt "94199,249084,94199,249084" +tm "Actions" +) +) +tp (TransitionPriority +uid 2102,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2103,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "130192,242736,132318,244862" +radius 1063 +) +pr (Text +uid 2104,0 +va (VaSet +isHidden 1 +) +xt "130555,243199,131955,244399" +st "1" +ju 0 +blo "131255,244199" +tm "TransitionPriority" +) +padding "100,100" +) +) +*114 (Transition +uid 2105,0 +shape (Spline +uid 2106,0 +va (VaSet +vasetType 3 +) +xt "129480,263748,140488,268564" +pts [ +"129480,263748" +"140488,268564" +] +arrow 1 +) +start &58 +end &59 +ss 0 +es 0 +cond "(Position <= Pos1 + 246 AND button = \"0010\") OR (Position <= Pos2 + 246 AND button = \"0100\")" +tb (TransitionBlock +uid 2107,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2108,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67213,266197,121613,268397" +) +autoResize 1 +lineShape (Line +uid 2109,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70363,268297,70363,268297" +pts [ +"70363,268297" +"70363,268297" +] +) +condition (MLText +uid 2110,0 +va (VaSet +) +xt "67713,266697,121113,267897" +st "(Position <= Pos1 + 246 AND button = \"0010\") OR (Position <= Pos2 + 246 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2111,0 +va (VaSet +) +xt "94413,268297,94413,268297" +tm "Actions" +) +) +tp (TransitionPriority +uid 2112,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "129517,263166,131643,265292" +radius 1063 +) +pr (Text +uid 2114,0 +va (VaSet +isHidden 1 +) +xt "129880,263629,131280,264829" +st "1" +ju 0 +blo "130580,264629" +tm "TransitionPriority" +) +padding "100,100" +) +) +*115 (Transition +uid 2115,0 +shape (Spline +uid 2116,0 +va (VaSet +vasetType 3 +) +xt "131193,213029,141630,218762" +pts [ +"141630,213029" +"131193,218762" +] +arrow 1 +) +start &53 +end &54 +ss 0 +es 0 +cond "(Position <= Pos1 + 1750 AND button = \"0010\") OR (Position <= Pos2 + 1750 AND button = \"0100\")" +tb (TransitionBlock +uid 2117,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2118,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "68000,215363,123800,217563" +) +autoResize 1 +lineShape (Line +uid 2119,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "71150,217463,71150,217463" +pts [ +"71150,217463" +"71150,217463" +] +) +condition (MLText +uid 2120,0 +va (VaSet +) +xt "68500,215863,123300,217063" +st "(Position <= Pos1 + 1750 AND button = \"0010\") OR (Position <= Pos2 + 1750 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2121,0 +va (VaSet +) +xt "95900,217463,95900,217463" +tm "Actions" +) +) +tp (TransitionPriority +uid 2122,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2123,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139523,212538,141649,214664" +radius 1063 +) +pr (Text +uid 2124,0 +va (VaSet +isHidden 1 +) +xt "139886,213001,141286,214201" +st "1" +ju 0 +blo "140586,214001" +tm "TransitionPriority" +) +padding "100,100" +) +) +*116 (Transition +uid 2125,0 +shape (Spline +uid 2126,0 +va (VaSet +vasetType 3 +) +xt "85221,254125,141452,301848" +pts [ +"141452,254125" +"85221,301848" +] +arrow 1 +) +start &57 +end &51 +ss 0 +cond "(Position <= Pos1 + 437 AND button = \"0010\") OR (Position <= Pos2 + 437 AND button = \"0100\")" +tb (TransitionBlock +uid 2127,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2128,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "45730,277251,100130,279451" +) +autoResize 1 +lineShape (Line +uid 2129,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "48880,279351,48880,279351" +pts [ +"48880,279351" +"48880,279351" +] +) +condition (MLText +uid 2130,0 +va (VaSet +) +xt "46230,277751,99630,278951" +st "(Position <= Pos1 + 437 AND button = \"0010\") OR (Position <= Pos2 + 437 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2131,0 +va (VaSet +) +xt "72930,279351,72930,279351" +tm "Actions" +) +) +tp (TransitionPriority +uid 2132,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2133,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "134766,257834,136892,259960" +radius 1063 +) +pr (Text +uid 2134,0 +va (VaSet +isHidden 1 +) +xt "135129,258297,136529,259497" +st "1" +ju 0 +blo "135829,259297" +tm "TransitionPriority" +) +padding "100,100" +) +) +*117 (Transition +uid 2135,0 +shape (Spline +uid 2136,0 +va (VaSet +vasetType 3 +) +xt "133141,202811,141500,207720" +pts [ +"133141,202811" +"141500,207720" +] +arrow 1 +) +start &52 +end &53 +cond "(Position <= Pos1 + 2215 AND button = \"0010\") OR (Position <= Pos2 + 2215 AND button = \"0100\")" +tb (TransitionBlock +uid 2137,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2138,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67940,206967,123740,209167" +) +autoResize 1 +lineShape (Line +uid 2139,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "71090,209067,71090,209067" +pts [ +"71090,209067" +"71090,209067" +] +) +condition (MLText +uid 2140,0 +va (VaSet +) +xt "68440,207467,123240,208667" +st "(Position <= Pos1 + 2215 AND button = \"0010\") OR (Position <= Pos2 + 2215 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2141,0 +va (VaSet +) +xt "95840,209067,95840,209067" +tm "Actions" +) +) +tp (TransitionPriority +uid 2142,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2143,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "132913,202238,135039,204364" +radius 1063 +) +pr (Text +uid 2144,0 +va (VaSet +isHidden 1 +) +xt "133276,202701,134676,203901" +st "1" +ju 0 +blo "133976,203701" +tm "TransitionPriority" +) +padding "100,100" +) +) +*118 (Transition +uid 2145,0 +shape (Spline +uid 2146,0 +va (VaSet +vasetType 3 +) +xt "111549,175796,130055,196162" +pts [ +"111549,177874" +"129080,176977" +"127813,196162" +] +arrow 1 +) +start &41 +end &52 +ss 0 +es 0 +cond "(Position <= Pos1 + 2734 AND button = \"0010\") OR (Position <= Pos2 + 2734 AND button = \"0100\")" +tb (TransitionBlock +uid 2147,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2148,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "91639,187536,147439,189736" +) +autoResize 1 +lineShape (Line +uid 2149,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "94789,189636,94789,189636" +pts [ +"94789,189636" +"94789,189636" +] +) +condition (MLText +uid 2150,0 +va (VaSet +) +xt "92139,188036,146939,189236" +st "(Position <= Pos1 + 2734 AND button = \"0010\") OR (Position <= Pos2 + 2734 AND button = \"0100\")" +tm "Condition" +) +actions (MLText +uid 2151,0 +va (VaSet +) +xt "119539,189636,119539,189636" +tm "Actions" +) +) +tp (TransitionPriority +uid 2152,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2153,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "114182,176156,116308,178282" +radius 1063 +) +pr (Text +uid 2154,0 +va (VaSet +isHidden 1 +) +xt "114545,176619,115945,177819" +st "1" +ju 0 +blo "115245,177619" +tm "TransitionPriority" +) +padding "100,100" +) +) +*119 (Transition +uid 2160,0 +shape (Spline +uid 2161,0 +va (VaSet +vasetType 3 +) +xt "73450,-7346,209008,335321" +pts [ +"88367,307801" +"195013,317014" +"195932,33007" +"86005,-7066" +"74636,15007" +] +arrow 1 +) +start &51 +end &2 +ss 0 +es 0 +tb (TransitionBlock +uid 2162,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2163,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "191032,33897,206132,38907" +) +autoResize 1 +lineShape (Line +uid 2164,0 +va (VaSet +vasetType 3 +) +xt "191532,34607,205632,34607" +pts [ +"191532,34607" +"205632,34607" +] +) +condition (MLText +uid 2165,0 +va (VaSet +) +xt "195932,33007,201232,34207" +tm "Condition" +) +actions (MLText +uid 2166,0 +va (VaSet +) +xt "191532,35007,205632,38607" +st "RaZ <= '0'; +unlock <= '1' ; +Power <= \"00000000\";" +tm "Actions" +) +) +tp (TransitionPriority +uid 2167,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2168,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "139237,328572,141363,330698" +radius 1063 +) +pr (Text +uid 2169,0 +va (VaSet +isHidden 1 +) +xt "139600,329035,141000,330235" +st "1" +ju 0 +blo "140300,330035" +tm "TransitionPriority" +) +padding "100,100" +) +) +*120 (Transition +uid 2176,0 +shape (Spline +uid 2177,0 +va (VaSet +vasetType 3 +) +xt "4539,25153,17747,25188" +pts [ +"4539,25188" +"17747,25153" +] +) +start &61 +end &62 +cond "button(3) = '1'" +tb (TransitionBlock +uid 2178,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7511,26168,22611,32768" +) +autoResize 1 +lineShape (Line +uid 2180,0 +va (VaSet +vasetType 3 +) +xt "8011,28268,22111,28268" +pts [ +"8011,28268" +"22111,28268" +] +) +condition (MLText +uid 2181,0 +va (VaSet +) +xt "10711,26668,19411,27868" +st "button(3) = '1'" +tm "Condition" +) +actions (MLText +uid 2182,0 +va (VaSet +) +xt "8011,28668,22111,32268" +st "RaZ <= '0'; +unlock <= '1' ; +Power <= \"00000000\";" +tm "Actions" +) +) +tp (TransitionPriority +uid 2183,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "4796,24121,6922,26247" +radius 1063 +) +pr (Text +uid 2185,0 +va (VaSet +) +xt "5159,24584,6559,25784" +st "1" +ju 0 +blo "5859,25584" +tm "TransitionPriority" +) +padding "100,100" +) +) +*121 (Transition +uid 2399,0 +shape (Spline +uid 2400,0 +va (VaSet +vasetType 3 +) +xt "6748,19920,17748,19920" +pts [ +"6748,19920" +"17748,19920" +] +) +start &63 +end &64 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 2401,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2402,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9398,18820,16998,21020" +) +autoResize 1 +lineShape (Line +uid 2403,0 +va (VaSet +vasetType 3 +) +xt "9898,20420,16498,20420" +pts [ +"9898,20420" +"16498,20420" +] +) +condition (MLText +uid 2404,0 +va (VaSet +) +xt "9898,18820,16498,20020" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 2405,0 +va (VaSet +isHidden 1 +) +xt "8898,20820,17498,22020" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 2406,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2407,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "6785,18857,8911,20983" +radius 1063 +) +pr (Text +uid 2408,0 +va (VaSet +isHidden 1 +) +xt "7148,19320,8548,20520" +st "1" +ju 0 +blo "7848,20320" +tm "TransitionPriority" +) +padding "100,100" +) +) +*122 (Transition +uid 2453,0 +shape (Spline +uid 2454,0 +va (VaSet +vasetType 3 +) +xt "4652,33864,19648,33864" +pts [ +"4652,33864" +"19648,33864" +] +) +start &66 +end &67 +cond "(button = \"0010\" AND Position = Pos1) OR (button = \"0100\" AND Position = Pos2)" +tb (TransitionBlock +uid 2455,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2456,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-17975,35856,28225,42456" +) +autoResize 1 +lineShape (Line +uid 2457,0 +va (VaSet +vasetType 3 +) +xt "-17475,37956,27725,37956" +pts [ +"-17475,37956" +"27725,37956" +] +) +condition (MLText +uid 2458,0 +va (VaSet +) +xt "-17475,36356,27725,37556" +st "(button = \"0010\" AND Position = Pos1) OR (button = \"0100\" AND Position = Pos2)" +tm "Condition" +) +actions (MLText +uid 2459,0 +va (VaSet +) +xt "-1925,38356,12175,41956" +st "RaZ <= '0'; +unlock <= '1' ; +Power <= \"00000000\";" +tm "Actions" +) +) +tp (TransitionPriority +uid 2460,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 2461,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5088,32801,7214,34927" +radius 1063 +) +pr (Text +uid 2462,0 +va (VaSet +) +xt "5451,33264,6851,34464" +st "2" +ju 0 +blo "6151,34264" +tm "TransitionPriority" +) +padding "100,100" +) +) +*123 (Transition +uid 3539,0 +shape (Spline +uid 3540,0 +va (VaSet +vasetType 3 +) +xt "60979,12315,69820,16611" +pts [ +"60979,12315" +"69820,16611" +] +arrow 1 +) +start &68 +end &2 +ss 0 +es 0 +cond "button(3) = '0'" +tb (TransitionBlock +uid 3541,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3542,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63213,10963,72913,13163" +) +autoResize 1 +lineShape (Line +uid 3543,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "66363,13063,66363,13063" +pts [ +"66363,13063" +"66363,13063" +] +) +condition (MLText +uid 3544,0 +va (VaSet +) +xt "63713,11463,72413,12663" +st "button(3) = '0'" +tm "Condition" +) +actions (MLText +uid 3545,0 +va (VaSet +) +xt "68063,13063,68063,13063" +tm "Actions" +) +) +tp (TransitionPriority +uid 3546,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 3547,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "60799,11681,62925,13807" +radius 1063 +) +pr (Text +uid 3548,0 +va (VaSet +isHidden 1 +) +xt "61162,12144,62562,13344" +st "1" +ju 0 +blo "61862,13144" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *124 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*126 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*128 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*129 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*130 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*131 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*132 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*133 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "190,0,1921,1080" +viewArea "-14600,44060,126682,130916" +cachedDiagramExtent "-29687,-7346,209008,335321" +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,-51000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *134 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*136 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*137 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*138 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*139 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*140 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *141 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*143 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*144 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*145 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*146 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *147 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*149 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*150 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*151 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*152 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *153 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *154 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,78900,6600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +Power OUT COMB +RaZ OUT COMB +sideL OUT COMB +unlock OUT COMB +CurrentPos LOCAL CLKD +Pos1 LOCAL \"0101100101001000\" CLKD +Pos2 LOCAL \"1000010111101100\" CLKD +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *155 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&16 +&18 +&17 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&28 +&29 +&30 +&31 +&32 +&33 +&34 +&35 +&36 +&37 +&38 +&39 +&40 +&41 +&42 +&43 +&44 +&45 +&46 +&47 +&48 +&49 +&50 +&51 +&52 +&53 +&54 +&55 +&56 +&57 +&58 +&59 +&60 +&68 +] +name "csm" +) +] +lastUid 3904,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *156 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*157 (RefLabelRowHdr +) +*158 (TitleRowHdr +) +*159 (FilterRowHdr +) +*160 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*161 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*162 (GroupColHdr +tm "GroupColHdrMgr" +) +*163 (NameColHdr +tm "SmNameColHdrMgr" +) +*164 (ModeColHdr +tm "SmModeColHdrMgr" +) +*165 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*166 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*167 (InitColHdr +tm "SmInitColHdrMgr" +) +*168 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*169 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*170 (ColumnHdr +tm "SmExprColHdrMgr" +) +*171 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*172 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*173 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*174 (EolColHdr +tm "SmEolColHdrMgr" +) +*175 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*176 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*177 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +) +) +uid 164,0 +) +*178 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +) +) +uid 166,0 +) +*179 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +) +) +uid 168,0 +) +*180 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +) +) +uid 170,0 +scheme 0 +) +*181 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +) +) +uid 172,0 +scheme 0 +) +*182 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sideL" +t "std_ulogic" +o 10 +) +) +uid 174,0 +scheme 0 +) +*183 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 11 +) +) +uid 178,0 +scheme 0 +) +*184 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "CurrentPos" +t "unsigned" +b "(15 DOWNTO 0)" +o 12 +) +) +uid 1247,0 +scheme 1 +) +*185 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +uid 2363,0 +cat 1 +ass "" +expr "clock'EVENT AND clock = '1'" +) +*186 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +uid 2365,0 +cat 9 +ass "" +expr "reset = '1'" +) +*187 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "Pos1" +t "unsigned" +b "(15 DOWNTO 0)" +o 13 +) +) +uid 3286,0 +scheme 1 +defVal "\"0101100101001000\"" +) +*188 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "Pos2" +t "unsigned" +b "(15 DOWNTO 0)" +o 14 +) +) +uid 3288,0 +scheme 1 +defVal "\"1000010111101100\"" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 200,0 +optionalChildren [ +*189 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *190 (MRCItem +litem &156 +pos 14 +dimension 20 +) +uid 202,0 +optionalChildren [ +*191 (MRCItem +litem &157 +pos 0 +dimension 20 +uid 203,0 +) +*192 (MRCItem +litem &158 +pos 1 +dimension 23 +uid 204,0 +) +*193 (MRCItem +litem &159 +pos 2 +hidden 1 +dimension 20 +uid 205,0 +) +*194 (MRCItem +litem &175 +pos 2 +dimension 20 +uid 157,0 +) +*195 (MRCItem +litem &176 +pos 0 +dimension 20 +uid 159,0 +) +*196 (MRCItem +litem &177 +pos 6 +dimension 20 +uid 165,0 +) +*197 (MRCItem +litem &178 +pos 7 +dimension 20 +uid 167,0 +) +*198 (MRCItem +litem &179 +pos 9 +dimension 20 +uid 169,0 +) +*199 (MRCItem +litem &180 +pos 3 +dimension 20 +uid 171,0 +) +*200 (MRCItem +litem &181 +pos 4 +dimension 20 +uid 173,0 +) +*201 (MRCItem +litem &182 +pos 8 +dimension 20 +uid 175,0 +) +*202 (MRCItem +litem &183 +pos 10 +dimension 20 +uid 179,0 +) +*203 (MRCItem +litem &184 +pos 11 +dimension 20 +uid 1248,0 +) +*204 (MRCItem +litem &185 +pos 1 +dimension 20 +uid 2362,0 +) +*205 (MRCItem +litem &186 +pos 5 +dimension 20 +uid 2364,0 +) +*206 (MRCItem +litem &187 +pos 12 +dimension 20 +uid 3287,0 +) +*207 (MRCItem +litem &188 +pos 13 +dimension 20 +uid 3289,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 206,0 +optionalChildren [ +*208 (MRCItem +litem &160 +pos 0 +dimension 20 +uid 207,0 +) +*209 (MRCItem +litem &162 +pos 1 +dimension 40 +uid 208,0 +) +*210 (MRCItem +litem &163 +pos 2 +dimension 46 +uid 209,0 +) +*211 (MRCItem +litem &164 +pos 3 +dimension 40 +uid 210,0 +) +*212 (MRCItem +litem &165 +pos 4 +dimension 66 +uid 211,0 +) +*213 (MRCItem +litem &166 +pos 5 +dimension 45 +uid 212,0 +) +*214 (MRCItem +litem &167 +pos 6 +dimension 40 +uid 213,0 +) +*215 (MRCItem +litem &168 +pos 7 +dimension 100 +uid 214,0 +) +*216 (MRCItem +litem &169 +pos 8 +dimension 60 +uid 215,0 +) +*217 (MRCItem +litem &170 +pos 9 +dimension 130 +uid 216,0 +) +*218 (MRCItem +litem &171 +pos 10 +dimension 56 +uid 217,0 +) +*219 (MRCItem +litem &172 +pos 11 +dimension 187 +uid 218,0 +) +*220 (MRCItem +litem &173 +pos 12 +dimension 50 +uid 219,0 +) +*221 (MRCItem +litem &174 +pos 13 +dimension 80 +uid 220,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 201,0 +vaOverrides [ +] +) +] +) +uid 180,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *222 (LEmptyRow +) +uid 1859,0 +optionalChildren [ +*223 (RefLabelRowHdr +) +*224 (TitleRowHdr +) +*225 (FilterRowHdr +) +*226 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*227 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*228 (GroupColHdr +tm "GroupColHdrMgr" +) +*229 (NameColHdr +tm "GenericNameColHdrMgr" +) +*230 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*231 (InitColHdr +tm "GenericValueColHdrMgr" +) +*232 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*233 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1871,0 +optionalChildren [ +*234 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *235 (MRCItem +litem &222 +pos 0 +dimension 20 +) +uid 1873,0 +optionalChildren [ +*236 (MRCItem +litem &223 +pos 0 +dimension 20 +uid 1874,0 +) +*237 (MRCItem +litem &224 +pos 1 +dimension 23 +uid 1875,0 +) +*238 (MRCItem +litem &225 +pos 2 +hidden 1 +dimension 20 +uid 1876,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1877,0 +optionalChildren [ +*239 (MRCItem +litem &226 +pos 0 +dimension 20 +uid 1878,0 +) +*240 (MRCItem +litem &228 +pos 1 +dimension 50 +uid 1879,0 +) +*241 (MRCItem +litem &229 +pos 2 +dimension 100 +uid 1880,0 +) +*242 (MRCItem +litem &230 +pos 3 +dimension 100 +uid 1881,0 +) +*243 (MRCItem +litem &231 +pos 4 +dimension 50 +uid 1882,0 +) +*244 (MRCItem +litem &232 +pos 5 +dimension 50 +uid 1883,0 +) +*245 (MRCItem +litem &233 +pos 6 +dimension 80 +uid 1884,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1872,0 +vaOverrides [ +] +) +] +) +uid 1858,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine:CDM" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/@main2/interface b/Cursor/hds/@main2/interface new file mode 100644 index 0000000..6bfbcc0 --- /dev/null +++ b/Cursor/hds/@main2/interface @@ -0,0 +1,1972 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 141,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 131,0 +) +) +uid 1694,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 132,0 +) +) +uid 1696,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 133,0 +) +) +uid 1698,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 134,0 +) +) +uid 1700,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 135,0 +) +) +uid 1702,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 136,0 +) +) +uid 1704,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 137,0 +) +) +uid 1706,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 138,0 +) +) +uid 1708,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sideL" +t "std_ulogic" +o 10 +suid 139,0 +) +) +uid 1710,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 140,0 +) +) +uid 1712,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 11 +suid 141,0 +) +) +uid 1714,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*30 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1695,0 +) +*31 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1697,0 +) +*32 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1699,0 +) +*33 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1701,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1703,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1705,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1707,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1709,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1711,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1713,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 1715,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &49 +pos 3 +dimension 20 +) +uid 180,0 +optionalChildren [ +*63 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 181,0 +) +*64 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 182,0 +) +*65 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*66 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 185,0 +) +*67 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 186,0 +) +*68 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 187,0 +) +*69 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 188,0 +) +*70 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 189,0 +) +*71 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 190,0 +) +*72 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "Main2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "18:49:32" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "Main2" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@main2\\interface" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Main2\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "18:49:32" +) +(vvPair +variable "unit" +value "Main2" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 1639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,41625,15000,42375" +) +tg (CPTG +uid 1641,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1642,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,41300,21000,42700" +st "button" +blo "16000,42500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1643,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,66500,4000" +st "button : IN unsigned (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 131,0 +) +) +) +*75 (CptPort +uid 1644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1645,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,60625,15000,61375" +) +tg (CPTG +uid 1646,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1647,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,60300,19800,61700" +st "clock" +blo "16000,61500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1648,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 132,0 +) +) +) +*76 (CptPort +uid 1649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1650,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 1651,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1652,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,21800,10700" +st "Position" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1653,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,67000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 133,0 +) +) +) +*77 (CptPort +uid 1654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1655,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,61625,47750,62375" +) +tg (CPTG +uid 1656,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1657,0 +va (VaSet +font "Verdana,12,0" +) +xt "41200,61300,46000,62700" +st "Power" +ju 2 +blo "46000,62500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1658,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,66500,8800" +st "Power : OUT unsigned (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 134,0 +) +) +) +*78 (CptPort +uid 1659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1660,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,16625,15000,17375" +) +tg (CPTG +uid 1661,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1662,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,16300,19200,17700" +st "RaZ" +blo "16000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1663,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,61000,9600" +st "RaZ : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 135,0 +) +) +) +*79 (CptPort +uid 1664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1665,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,61625,15000,62375" +) +tg (CPTG +uid 1666,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1667,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,61300,20100,62700" +st "reset" +blo "16000,62500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1668,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 136,0 +) +) +) +*80 (CptPort +uid 1669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,55625,15000,56375" +) +tg (CPTG +uid 1671,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1672,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,55300,21900,56700" +st "sensor1" +blo "16000,56500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1673,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "sensor1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 137,0 +) +) +) +*81 (CptPort +uid 1674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1675,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,53625,15000,54375" +) +tg (CPTG +uid 1676,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1677,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,53300,21900,54700" +st "sensor2" +blo "16000,54500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1678,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61000,7200" +st "sensor2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 138,0 +) +) +) +*82 (CptPort +uid 1679,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1680,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,65625,47750,66375" +) +tg (CPTG +uid 1681,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1682,0 +va (VaSet +font "Verdana,12,0" +) +xt "41900,65300,46000,66700" +st "sideL" +ju 2 +blo "46000,66500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1683,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,61000,10400" +st "sideL : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sideL" +t "std_ulogic" +o 10 +suid 139,0 +) +) +) +*83 (CptPort +uid 1684,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1685,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,59625,15000,60375" +) +tg (CPTG +uid 1686,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1687,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,59300,22700,60700" +st "testMode" +blo "16000,60500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1688,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61000,8000" +st "testMode : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 140,0 +) +) +) +*84 (CptPort +uid 1689,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1690,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,47625,15000,48375" +) +tg (CPTG +uid 1691,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1692,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,47300,20800,48700" +st "unlock" +blo "16000,48500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1693,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,60000,11200" +st "unlock : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 11 +suid 141,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,77000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "29150,40300,32850,41500" +st "Cursor" +blo "29150,41300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "29150,41500,32650,42700" +st "Main2" +blo "29150,42500" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,37500,13000,38300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 16,0 +optionalChildren [ +*87 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,48800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *97 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*99 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *100 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *101 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,11200,45200,12400" +st "User:" +blo "42000,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12400,44000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1738,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@motor_side/fsm.sm b/Cursor/hds/@motor_side/fsm.sm index fa58b3c..5fcaf14 100644 --- a/Cursor/hds/@motor_side/fsm.sm +++ b/Cursor/hds/@motor_side/fsm.sm @@ -39,23 +39,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -75,27 +75,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side" ) (vvPair variable "date" -value "17.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "ven." +value "jeu." ) (vvPair variable "day_long" -value "vendredi" +value "jeudi" ) (vvPair variable "dd" -value "17" +value "20" ) (vvPair variable "entity_name" @@ -119,11 +119,11 @@ value "fsm" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -131,11 +131,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "09:12:09" +value "15:12:40" ) (vvPair variable "group" @@ -143,7 +143,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "language" @@ -159,7 +159,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -167,19 +167,19 @@ value "Motor_side" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\fsm.sm" ) (vvPair variable "package_name" @@ -207,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "09:12:09" +value "15:12:40" ) (vvPair variable "unit" @@ -215,7 +215,7 @@ value "Motor_side" ) (vvPair variable "user" -value "Simon" +value "remi.heredero" ) (vvPair variable "version" @@ -227,11 +227,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -253,7 +253,7 @@ fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) -xt "30459,22275,37561,29377" +xt "42277,30105,49379,37207" radius 3551 ) name (Text @@ -261,10 +261,10 @@ uid 45,0 va (VaSet font "Verdana,12,1" ) -xt "32360,25126,35660,26526" +xt "44178,32956,47478,34356" st "goL" ju 0 -blo "34010,26326" +blo "45828,34156" tm "ONodeName" ) wait (TextAssociate @@ -277,9 +277,9 @@ isHidden 1 fg "0,0,32768" font "Verdana,12,1" ) -xt "33760,26026,38860,27426" +xt "45578,33856,50678,35256" st "wait 2" -blo "33760,27226" +blo "45578,35056" tm "SmWaitText" ) ) @@ -289,8 +289,8 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "34010,26926,34010,26926" -blo "34010,26926" +xt "45828,34756,45828,34756" +blo "45828,34756" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock @@ -307,7 +307,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "33960,27926,42060,30526" +xt "50444,35884,58844,38484" ) autoResize 1 tline (Line @@ -318,10 +318,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "34060,27826,41960,27826" +xt "50544,35784,58744,35784" pts [ -"34060,27826" -"41960,27826" +"50544,35784" +"58744,35784" ] ) bline (Line @@ -332,10 +332,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "34060,28126,41960,28126" +xt "50544,37884,58744,37884" pts [ -"34060,28126" -"41960,28126" +"50544,37884" +"58744,37884" ] ) ttri (Triangle @@ -349,7 +349,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33610,27451,33960,27801" +xt "50094,34209,50444,34559" ) btri (Triangle uid 56,0 @@ -362,29 +362,29 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33610,25651,33960,26001" +xt "50094,38409,50444,38759" ) entryActions (MLText uid 57,0 va (VaSet ) -xt "34060,27626,34060,27626" +xt "50544,33184,58744,35584" tm "Actions" ) inActions (MLText uid 58,0 va (VaSet ) -xt "34060,28026,41960,30426" -st "side1 <= '1'; -side2 <= '0';" +xt "50544,35984,58744,38384" +st "side1 <= '1' ; +side2 <= '0' ;" tm "Actions" ) exitActions (MLText uid 59,0 va (VaSet ) -xt "34010,25826,34010,25826" +xt "50544,37384,58744,39784" tm "Actions" ) ) @@ -397,7 +397,7 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "31910,27026,38610,28226" +xt "43728,34856,50428,36056" st "CASE: expr" tm "SmCaseExpr" ) @@ -511,10 +511,10 @@ vasetType 3 ) xt "4150,19700,4749,20300" pts [ -"4749,20300" -"4449,20300" +"4749,19700" "4449,19700" -"4150,19700" +"4449,20300" +"4150,20300" ] ) (Line @@ -572,7 +572,7 @@ va (VaSet isHidden 1 ) xt "2400,17800,9000,19000" -st "reset = '0'" +st "reset = '1'" tm "SmControlConditionMgr" ) ) @@ -630,6 +630,7 @@ st "< Automatic >" tm "Actions" ) ) +level 1 ) *5 (Link uid 87,0 @@ -785,7 +786,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,48800,47000" st " by %user on %dd %month %year " @@ -1107,7 +1108,7 @@ fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) -xt "61739,21689,68841,28791" +xt "90811,30171,97913,37273" radius 3551 ) name (Text @@ -1115,10 +1116,10 @@ uid 170,0 va (VaSet font "Verdana,12,1" ) -xt "63590,24540,66990,25940" +xt "92662,33022,96062,34422" st "goR" ju 0 -blo "65290,25740" +blo "94362,34222" tm "ONodeName" ) wait (TextAssociate @@ -1131,9 +1132,9 @@ isHidden 1 fg "0,0,32768" font "Verdana,12,1" ) -xt "65040,25440,70140,26840" +xt "94112,33922,99212,35322" st "wait 2" -blo "65040,26640" +blo "94112,35122" tm "SmWaitText" ) ) @@ -1143,8 +1144,8 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "65290,26340,65290,26340" -blo "65290,26340" +xt "94362,34822,94362,34822" +blo "94362,34822" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock @@ -1161,7 +1162,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "65240,27340,73340,29940" +xt "98126,37674,106526,40274" ) autoResize 1 tline (Line @@ -1172,10 +1173,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "65340,27240,73240,27240" +xt "98226,37574,106426,37574" pts [ -"65340,27240" -"73240,27240" +"98226,37574" +"106426,37574" ] ) bline (Line @@ -1186,10 +1187,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "65340,27540,73240,27540" +xt "98226,39674,106426,39674" pts [ -"65340,27540" -"73240,27540" +"98226,39674" +"106426,39674" ] ) ttri (Triangle @@ -1203,7 +1204,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "64890,26865,65240,27215" +xt "97776,35999,98126,36349" ) btri (Triangle uid 181,0 @@ -1216,29 +1217,29 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "64890,25065,65240,25415" +xt "97776,40199,98126,40549" ) entryActions (MLText uid 182,0 va (VaSet ) -xt "65340,27040,65340,27040" +xt "98226,34974,106426,37374" tm "Actions" ) inActions (MLText uid 183,0 va (VaSet ) -xt "65340,27440,73240,29840" -st "side1 <= '0'; -side2 <= '1';" +xt "98226,37774,106426,40174" +st "side1 <= '0' ; +side2 <= '1' ;" tm "Actions" ) exitActions (MLText uid 184,0 va (VaSet ) -xt "65290,25240,65290,25240" +xt "98226,39174,106426,41574" tm "Actions" ) ) @@ -1251,7 +1252,7 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "63190,26440,69890,27640" +xt "92262,34922,98962,36122" st "CASE: expr" tm "SmCaseExpr" ) @@ -1267,7 +1268,7 @@ fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) -xt "45390,8310,52790,15710" +xt "67937,8020,75337,15420" radius 3700 ) name (Text @@ -1275,10 +1276,10 @@ uid 187,0 va (VaSet font "Verdana,12,1" ) -xt "46090,11310,52090,12710" +xt "68637,11020,74637,12420" st "Waiting" ju 0 -blo "49090,12510" +blo "71637,12220" tm "ONodeName" ) wait (TextAssociate @@ -1291,9 +1292,9 @@ isHidden 1 fg "0,0,32768" font "Verdana,12,1" ) -xt "48840,12210,53940,13610" +xt "71387,11920,76487,13320" st "wait 2" -blo "48840,13410" +blo "71387,13120" tm "SmWaitText" ) ) @@ -1303,8 +1304,8 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "49090,13110,49090,13110" -blo "49090,13110" +xt "71637,12820,71637,12820" +blo "71637,12820" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock @@ -1321,7 +1322,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "48890,14110,57290,16710" +xt "67701,4024,76101,6624" ) autoResize 1 tline (Line @@ -1332,10 +1333,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "48990,14010,57190,14010" +xt "67801,3924,76001,3924" pts [ -"48990,14010" -"57190,14010" +"67801,3924" +"76001,3924" ] ) bline (Line @@ -1346,10 +1347,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "48990,14310,57190,14310" +xt "67801,6724,76001,6724" pts [ -"48990,14310" -"57190,14310" +"67801,6724" +"76001,6724" ] ) ttri (Triangle @@ -1363,7 +1364,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "48540,13635,48890,13985" +xt "67351,2349,67701,2699" ) btri (Triangle uid 198,0 @@ -1376,20 +1377,20 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "48540,11835,48890,12185" +xt "67351,7949,67701,8299" ) entryActions (MLText uid 199,0 va (VaSet ) -xt "48990,13810,48990,13810" +xt "67801,1324,76001,3724" tm "Actions" ) inActions (MLText uid 200,0 va (VaSet ) -xt "48990,14210,57190,16610" +xt "67801,4124,76001,6524" st "side1 <= '0' ; side2 <= '0' ;" tm "Actions" @@ -1398,7 +1399,7 @@ exitActions (MLText uid 201,0 va (VaSet ) -xt "49090,12010,49090,12010" +xt "67801,6924,76001,9324" tm "Actions" ) ) @@ -1411,11 +1412,12 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "46990,13210,53690,14410" +xt "69537,12920,76237,14120" st "CASE: expr" tm "SmCaseExpr" ) ) +execEntry 1 ) *21 (InterruptPoint uid 302,0 @@ -1526,7 +1528,7 @@ start &4 end &5 ss 0 es 0 -cond "reset = '0'" +cond "reset = '1'" tb (TransitionBlock uid 106,0 ps "CenterOffsetStrategy" @@ -1557,7 +1559,7 @@ uid 109,0 va (VaSet ) xt "8650,18900,15250,20100" -st "reset = '0'" +st "reset = '1'" tm "Condition" ) actions (MLText @@ -1714,26 +1716,25 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "2162,24845,25662,27045" +xt "2626,24233,26126,29233" ) autoResize 1 lineShape (Line uid 317,0 va (VaSet vasetType 3 -isHidden 1 ) -xt "5312,26945,5312,26945" +xt "3126,26133,25626,26133" pts [ -"5312,26945" -"5312,26945" +"3126,26133" +"25626,26133" ] ) condition (MLText uid 318,0 va (VaSet ) -xt "2662,25345,25162,26545" +xt "3126,24533,25626,25733" st "Power = \"00000000\" OR PWM_out = '0'" tm "Condition" ) @@ -1741,7 +1742,9 @@ actions (MLText uid 319,0 va (VaSet ) -xt "13912,26945,13912,26945" +xt "10276,26533,18476,28933" +st "side1 <= '0' ; +side2 <= '0' ;" tm "Actions" ) ) @@ -1780,10 +1783,10 @@ uid 324,0 va (VaSet vasetType 3 ) -xt "51769,14562,62504,23040" +xt "74316,14272,91811,31252" pts [ -"51769,14562" -"62504,23040" +"74316,14272" +"91811,31252" ] arrow 1 ) @@ -1802,7 +1805,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "56057,18533,74857,20733" +xt "75981,22320,94781,24520" ) autoResize 1 lineShape (Line @@ -1811,17 +1814,17 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "59207,20633,59207,20633" +xt "76481,24420,94281,24420" pts [ -"59207,20633" -"59207,20633" +"76481,24420" +"94281,24420" ] ) condition (MLText uid 328,0 va (VaSet ) -xt "56557,19033,74357,20233" +xt "76481,22820,94281,24020" st "PWM_out = '1' AND SideL = '0'" tm "Condition" ) @@ -1829,7 +1832,7 @@ actions (MLText uid 329,0 va (VaSet ) -xt "65457,20633,65457,20633" +xt "81281,24420,89481,26820" tm "Actions" ) ) @@ -1843,17 +1846,17 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "51778,14346,53904,16472" +xt "75002,14907,77128,17033" radius 1063 ) pr (Text uid 332,0 va (VaSet ) -xt "52141,14809,53541,16009" -st "1" +xt "75365,15370,76765,16570" +st "2" ju 0 -blo "52841,15809" +blo "76065,16370" tm "TransitionPriority" ) padding "100,100" @@ -1866,10 +1869,10 @@ uid 334,0 va (VaSet vasetType 3 ) -xt "36644,14591,46439,23445" +xt "48533,14301,68986,31357" pts [ -"46439,14591" -"36644,23445" +"68986,14301" +"48533,31357" ] arrow 1 ) @@ -1888,7 +1891,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "34023,18344,52823,20544" +xt "50560,20691,69360,22891" ) autoResize 1 lineShape (Line @@ -1897,17 +1900,17 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "37173,20444,37173,20444" +xt "51060,22791,68860,22791" pts [ -"37173,20444" -"37173,20444" +"51060,22791" +"68860,22791" ] ) condition (MLText uid 338,0 va (VaSet ) -xt "34523,18844,52323,20044" +xt "51060,21191,68860,22391" st "PWM_out = '1' AND SideL = '1'" tm "Condition" ) @@ -1915,7 +1918,7 @@ actions (MLText uid 339,0 va (VaSet ) -xt "43423,20444,43423,20444" +xt "55860,22791,64060,25191" tm "Actions" ) ) @@ -1929,17 +1932,197 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "44396,14413,46522,16539" +xt "65877,14943,68003,17069" radius 1063 ) pr (Text uid 342,0 va (VaSet ) -xt "44759,14876,46159,16076" -st "2" +xt "66240,15406,67640,16606" +st "1" ju 0 -blo "45459,15876" +blo "66940,16406" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 654,0 +shape (Spline +uid 655,0 +va (VaSet +vasetType 3 +) +xt "46527,12561,68034,30175" +pts [ +"46527,30175" +"49181,16964" +"68034,12561" +] +arrow 1 +) +start &2 +end &20 +ss 0 +es 0 +cond "Power = \"00000000\" OR PWM_out = '0' OR SideL ='0'" +tb (TransitionBlock +uid 656,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 657,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "29367,10084,60867,12284" +) +autoResize 1 +lineShape (Line +uid 658,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "29867,12184,60367,12184" +pts [ +"29867,12184" +"60367,12184" +] +) +condition (MLText +uid 659,0 +va (VaSet +) +xt "29867,10584,60367,11784" +st "Power = \"00000000\" OR PWM_out = '0' OR SideL ='0'" +tm "Condition" +) +actions (MLText +uid 660,0 +va (VaSet +) +xt "41017,12184,49217,14584" +tm "Actions" +) +) +tp (TransitionPriority +uid 661,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 662,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "45554,25782,47680,27908" +radius 1063 +) +pr (Text +uid 663,0 +va (VaSet +isHidden 1 +) +xt "45917,26245,47317,27445" +st "1" +ju 0 +blo "46617,27245" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 664,0 +shape (Spline +uid 665,0 +va (VaSet +vasetType 3 +) +xt "75205,12697,93986,30207" +pts [ +"93859,30207" +"92009,17299" +"75205,12697" +] +arrow 1 +) +start &19 +end &20 +ss 0 +es 0 +cond "Power = \"00000000\" OR PWM_out = '0' OR SideL ='1'" +tb (TransitionBlock +uid 666,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 667,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "82925,10303,114425,12503" +) +autoResize 1 +lineShape (Line +uid 668,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "83425,12403,113925,12403" +pts [ +"83425,12403" +"113925,12403" +] +) +condition (MLText +uid 669,0 +va (VaSet +) +xt "83425,10803,113925,12003" +st "Power = \"00000000\" OR PWM_out = '0' OR SideL ='1'" +tm "Condition" +) +actions (MLText +uid 670,0 +va (VaSet +) +xt "94575,12403,102775,14803" +tm "Actions" +) +) +tp (TransitionPriority +uid 671,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 672,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "92842,26053,94968,28179" +radius 1063 +) +pr (Text +uid 673,0 +va (VaSet +isHidden 1 +) +xt "93205,26516,94605,27716" +st "1" +ju 0 +blo "93905,27516" tm "TransitionPriority" ) padding "100,100" @@ -1957,11 +2140,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *28 (PackageList +packageList *30 (PackageList uid 31,0 stg "VerticalLayoutStrategy" textVec [ -*29 (Text +*31 (Text uid 32,0 va (VaSet font "Verdana,9,1" @@ -1970,7 +2153,7 @@ xt "0,3000,7600,4200" st "Package List" blo "0,4000" ) -*30 (MLText +*32 (MLText uid 33,0 va (VaSet ) @@ -1988,7 +2171,7 @@ compDirBlock (MlTextGroup uid 34,0 stg "VerticalLayoutStrategy" textVec [ -*31 (Text +*33 (Text uid 35,0 va (VaSet isHidden 1 @@ -1998,7 +2181,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*32 (Text +*34 (Text uid 36,0 va (VaSet isHidden 1 @@ -2008,7 +2191,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*33 (MLText +*35 (MLText uid 37,0 va (VaSet isHidden 1 @@ -2018,7 +2201,7 @@ st "`resetall `timescale 1ns/10ps" tm "SmCompilerDirectivesTextMgr" ) -*34 (Text +*36 (Text uid 38,0 va (VaSet isHidden 1 @@ -2028,7 +2211,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*35 (MLText +*37 (MLText uid 39,0 va (VaSet isHidden 1 @@ -2036,7 +2219,7 @@ isHidden 1 xt "20000,0,20000,0" tm "SmCompilerDirectivesTextMgr" ) -*36 (Text +*38 (Text uid 40,0 va (VaSet isHidden 1 @@ -2046,7 +2229,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*37 (MLText +*39 (MLText uid 41,0 va (VaSet isHidden 1 @@ -2057,9 +2240,9 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "-3254,-6700,82992,50778" -cachedDiagramExtent "-650,-1000,86600,47000" +windowSize "96,0,1815,1080" +viewArea "7700,-13600,115052,54944" +cachedDiagramExtent "-650,-1000,114425,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" isTopLevel 1 @@ -2138,11 +2321,11 @@ tm "PanelText" ) ) ) -localDecl *38 (SmLocalDecl +localDecl *40 (SmLocalDecl uid 3,0 stg "VerticalLayoutStrategy" textVec [ -*39 (Text +*41 (Text uid 4,0 va (VaSet font "Verdana,9,1" @@ -2151,7 +2334,7 @@ xt "27800,-1000,42400,200" st "Architecture Declarations" blo "27800,0" ) -*40 (MLText +*42 (MLText uid 5,0 va (VaSet font "Courier New,8,0" @@ -2159,7 +2342,7 @@ font "Courier New,8,0" xt "27800,-1000,27800,-1000" tm "LocalDeclTextMgr" ) -*41 (Text +*43 (Text uid 6,0 va (VaSet font "Verdana,9,1" @@ -2168,7 +2351,7 @@ xt "27800,200,33000,1400" st "Pre Decls" blo "27800,1200" ) -*42 (MLText +*44 (MLText uid 7,0 va (VaSet font "Courier New,8,0" @@ -2176,7 +2359,7 @@ font "Courier New,8,0" xt "27800,-1000,27800,-1000" tm "LocalDeclTextMgr" ) -*43 (Text +*45 (Text uid 8,0 va (VaSet font "Verdana,9,1" @@ -2185,7 +2368,7 @@ xt "27800,1400,34200,2600" st "Post Decls" blo "27800,2400" ) -*44 (MLText +*46 (MLText uid 9,0 va (VaSet font "Courier New,8,0" @@ -2195,11 +2378,11 @@ tm "LocalDeclTextMgr" ) ] ) -processDecl *45 (SmProcessDecl +processDecl *47 (SmProcessDecl uid 10,0 stg "VerticalLayoutStrategy" textVec [ -*46 (Text +*48 (Text uid 11,0 va (VaSet font "Verdana,9,1" @@ -2208,7 +2391,7 @@ xt "74500,-1000,86600,200" st "Process Declarations" blo "74500,0" ) -*47 (Text +*49 (Text uid 12,0 va (VaSet font "Verdana,9,1" @@ -2217,7 +2400,7 @@ xt "74500,200,84000,1400" st "Clocked Process:" blo "74500,1200" ) -*48 (MLText +*50 (MLText uid 13,0 va (VaSet font "Courier New,8,0" @@ -2225,7 +2408,7 @@ font "Courier New,8,0" xt "74500,-1000,74500,-1000" tm "ProcessDeclTextMgr" ) -*49 (Text +*51 (Text uid 14,0 va (VaSet font "Verdana,9,1" @@ -2234,7 +2417,7 @@ xt "74500,1400,83800,2600" st "Output Process:" blo "74500,2400" ) -*50 (MLText +*52 (MLText uid 15,0 va (VaSet font "Courier New,8,0" @@ -2245,11 +2428,11 @@ tm "ProcessDeclTextMgr" ] associable 1 ) -defaultActions *51 (MlTextGroup +defaultActions *53 (MlTextGroup uid 16,0 stg "VerticalLayoutStrategy" textVec [ -*52 (Text +*54 (Text uid 17,0 va (VaSet font "Verdana,9,1" @@ -2258,7 +2441,7 @@ xt "0,-1000,8400,200" st "Global Actions" blo "0,0" ) -*53 (Text +*55 (Text uid 18,0 va (VaSet font "Verdana,9,1" @@ -2267,14 +2450,14 @@ xt "0,200,7400,1400" st "Pre Actions:" blo "0,1200" ) -*54 (MLText +*56 (MLText uid 19,0 va (VaSet ) xt "0,-1000,0,-1000" tm "Actions" ) -*55 (Text +*57 (Text uid 20,0 va (VaSet font "Verdana,9,1" @@ -2283,7 +2466,7 @@ xt "0,1400,8000,2600" st "Post Actions:" blo "0,2400" ) -*56 (MLText +*58 (MLText uid 21,0 va (VaSet ) @@ -2293,7 +2476,7 @@ tm "Actions" ] associable 1 ) -archConcurrentStatementBlock *57 (BiTextGroup +archConcurrentStatementBlock *59 (BiTextGroup uid 22,0 stg "VerticalLayoutStrategy" first (Text @@ -2314,7 +2497,7 @@ tm "ArchConcStmtTextMgr" ) associable 1 ) -signalsGenStatus *58 (SmSignalGenStatus +signalsGenStatus *60 (SmSignalGenStatus uid 28,0 stg "VerticalLayoutStrategy" first (Text @@ -2339,7 +2522,7 @@ side2 OUT COMB tm "SmSignalsGenStatusTextMgr" ) ) -stateRegBlock *59 (BiTextGroup +stateRegBlock *61 (BiTextGroup uid 25,0 stg "VerticalLayoutStrategy" first (Text @@ -2433,65 +2616,65 @@ stateOrder [ name "csm" ) ] -lastUid 362,0 +lastUid 748,0 commonDM (CommonDM ldm (LogicalDM -emptyRow *60 (LEmptyRow +emptyRow *62 (LEmptyRow ) uid 204,0 optionalChildren [ -*61 (RefLabelRowHdr +*63 (RefLabelRowHdr ) -*62 (TitleRowHdr +*64 (TitleRowHdr ) -*63 (FilterRowHdr +*65 (FilterRowHdr ) -*64 (RefLabelColHdr +*66 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*65 (RowExpandColHdr +*67 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*66 (GroupColHdr +*68 (GroupColHdr tm "GroupColHdrMgr" ) -*67 (NameColHdr +*69 (NameColHdr tm "SmNameColHdrMgr" ) -*68 (ModeColHdr +*70 (ModeColHdr tm "SmModeColHdrMgr" ) -*69 (TypeColHdr +*71 (TypeColHdr tm "SmTypeColHdrMgr" ) -*70 (BoundsColHdr +*72 (BoundsColHdr tm "SmBoundsColHdrMgr" ) -*71 (InitColHdr +*73 (InitColHdr tm "SmInitColHdrMgr" ) -*72 (ColumnHdr +*74 (ColumnHdr tm "SmCategoryColHdrMgr" ) -*73 (ColumnHdr +*75 (ColumnHdr tm "SmAssignColHdrMgr" ) -*74 (ColumnHdr +*76 (ColumnHdr tm "SmExprColHdrMgr" ) -*75 (ColumnHdr +*77 (ColumnHdr tm "SmSchemeColHdrMgr" ) -*76 (ColumnHdr +*78 (ColumnHdr tm "SmDefValColHdrMgr" ) -*77 (ColumnHdr +*79 (ColumnHdr tm "SmRstValColHdrMgr" ) -*78 (EolColHdr +*80 (EolColHdr tm "SmEolColHdrMgr" ) -*79 (LeafLogPort +*81 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2502,7 +2685,7 @@ o 1 ) uid 156,0 ) -*80 (LeafLogPort +*82 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2513,7 +2696,7 @@ o 3 ) uid 158,0 ) -*81 (LeafLogPort +*83 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2526,7 +2709,7 @@ uid 160,0 cat 1 expr "clock'EVENT AND clock = '1'" ) -*82 (LeafLogPort +*84 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2536,10 +2719,10 @@ o 5 ) ) uid 162,0 -cat 8 -expr "reset = '0'" +cat 9 +expr "reset = '1'" ) -*83 (LeafLogPort +*85 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2552,7 +2735,7 @@ o 6 uid 164,0 scheme 0 ) -*84 (LeafLogPort +*86 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2565,7 +2748,7 @@ o 7 uid 166,0 scheme 0 ) -*85 (LeafLogPort +*87 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2585,7 +2768,7 @@ displayShortBounds 1 editShortBounds 1 uid 223,0 optionalChildren [ -*86 (Sheet +*88 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2602,70 +2785,70 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *87 (MRCItem -litem &60 +emptyMRCItem *89 (MRCItem +litem &62 pos 7 dimension 20 ) uid 225,0 optionalChildren [ -*88 (MRCItem -litem &61 +*90 (MRCItem +litem &63 pos 0 dimension 20 uid 226,0 ) -*89 (MRCItem -litem &62 +*91 (MRCItem +litem &64 pos 1 dimension 23 uid 227,0 ) -*90 (MRCItem -litem &63 +*92 (MRCItem +litem &65 pos 2 hidden 1 dimension 20 uid 228,0 ) -*91 (MRCItem -litem &79 +*93 (MRCItem +litem &81 pos 0 dimension 20 uid 157,0 ) -*92 (MRCItem -litem &80 +*94 (MRCItem +litem &82 pos 1 dimension 20 uid 159,0 ) -*93 (MRCItem -litem &81 +*95 (MRCItem +litem &83 pos 2 dimension 20 uid 161,0 ) -*94 (MRCItem -litem &82 +*96 (MRCItem +litem &84 pos 3 dimension 20 uid 163,0 ) -*95 (MRCItem -litem &83 +*97 (MRCItem +litem &85 pos 4 dimension 20 uid 165,0 ) -*96 (MRCItem -litem &84 +*98 (MRCItem +litem &86 pos 5 dimension 20 uid 167,0 ) -*97 (MRCItem -litem &85 +*99 (MRCItem +litem &87 pos 6 dimension 20 uid 271,0 @@ -2681,86 +2864,86 @@ textAngle 90 ) uid 229,0 optionalChildren [ -*98 (MRCItem -litem &64 +*100 (MRCItem +litem &66 pos 0 dimension 20 uid 230,0 ) -*99 (MRCItem -litem &66 +*101 (MRCItem +litem &68 pos 1 dimension 50 uid 231,0 ) -*100 (MRCItem -litem &67 +*102 (MRCItem +litem &69 pos 2 dimension 70 uid 232,0 ) -*101 (MRCItem -litem &68 +*103 (MRCItem +litem &70 pos 3 dimension 50 uid 233,0 ) -*102 (MRCItem -litem &69 +*104 (MRCItem +litem &71 pos 4 dimension 80 uid 234,0 ) -*103 (MRCItem -litem &70 +*105 (MRCItem +litem &72 pos 5 dimension 80 uid 235,0 ) -*104 (MRCItem -litem &71 +*106 (MRCItem +litem &73 pos 6 dimension 40 uid 236,0 ) -*105 (MRCItem -litem &72 +*107 (MRCItem +litem &74 pos 7 dimension 100 uid 237,0 ) -*106 (MRCItem -litem &73 +*108 (MRCItem +litem &75 pos 8 dimension 60 uid 238,0 ) -*107 (MRCItem -litem &74 +*109 (MRCItem +litem &76 pos 9 dimension 130 uid 239,0 ) -*108 (MRCItem -litem &75 +*110 (MRCItem +litem &77 pos 10 dimension 56 uid 240,0 ) -*109 (MRCItem -litem &76 +*111 (MRCItem +litem &78 pos 11 dimension 50 uid 241,0 ) -*110 (MRCItem -litem &77 +*112 (MRCItem +litem &79 pos 12 dimension 50 uid 242,0 ) -*111 (MRCItem -litem &78 +*113 (MRCItem +litem &80 pos 13 dimension 80 uid 243,0 @@ -2781,38 +2964,38 @@ uid 203,0 cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *112 (LEmptyRow +emptyRow *114 (LEmptyRow ) uid 245,0 optionalChildren [ -*113 (RefLabelRowHdr +*115 (RefLabelRowHdr ) -*114 (TitleRowHdr +*116 (TitleRowHdr ) -*115 (FilterRowHdr +*117 (FilterRowHdr ) -*116 (RefLabelColHdr +*118 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*117 (RowExpandColHdr +*119 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*118 (GroupColHdr +*120 (GroupColHdr tm "GroupColHdrMgr" ) -*119 (NameColHdr +*121 (NameColHdr tm "GenericNameColHdrMgr" ) -*120 (TypeColHdr +*122 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*121 (InitColHdr +*123 (InitColHdr tm "GenericValueColHdrMgr" ) -*122 (PragmaColHdr +*124 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*123 (EolColHdr +*125 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2822,7 +3005,7 @@ displayShortBounds 1 editShortBounds 1 uid 257,0 optionalChildren [ -*124 (Sheet +*126 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2839,27 +3022,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *125 (MRCItem -litem &112 +emptyMRCItem *127 (MRCItem +litem &114 pos 0 dimension 20 ) uid 259,0 optionalChildren [ -*126 (MRCItem -litem &113 +*128 (MRCItem +litem &115 pos 0 dimension 20 uid 260,0 ) -*127 (MRCItem -litem &114 +*129 (MRCItem +litem &116 pos 1 dimension 23 uid 261,0 ) -*128 (MRCItem -litem &115 +*130 (MRCItem +litem &117 pos 2 hidden 1 dimension 20 @@ -2876,44 +3059,44 @@ textAngle 90 ) uid 263,0 optionalChildren [ -*129 (MRCItem -litem &116 +*131 (MRCItem +litem &118 pos 0 dimension 20 uid 264,0 ) -*130 (MRCItem -litem &118 +*132 (MRCItem +litem &120 pos 1 dimension 50 uid 265,0 ) -*131 (MRCItem -litem &119 +*133 (MRCItem +litem &121 pos 2 dimension 100 uid 266,0 ) -*132 (MRCItem -litem &120 +*134 (MRCItem +litem &122 pos 3 dimension 100 uid 267,0 ) -*133 (MRCItem -litem &121 +*135 (MRCItem +litem &123 pos 4 dimension 50 uid 268,0 ) -*134 (MRCItem -litem &122 +*136 (MRCItem +litem &124 pos 5 dimension 50 uid 269,0 ) -*135 (MRCItem -litem &123 +*137 (MRCItem +litem &125 pos 6 dimension 80 uid 270,0 diff --git a/Cursor/hds/@motor_side/interface b/Cursor/hds/@motor_side/symbol.sb similarity index 97% rename from Cursor/hds/@motor_side/interface rename to Cursor/hds/@motor_side/symbol.sb index c4a26b9..3654447 100644 --- a/Cursor/hds/@motor_side/interface +++ b/Cursor/hds/@motor_side/symbol.sb @@ -71,7 +71,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 4 suid 22,0 ) ) @@ -84,7 +84,7 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 1 +o 2 suid 23,0 ) ) @@ -96,7 +96,7 @@ lang 11 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 1 suid 24,0 ) ) @@ -146,7 +146,7 @@ lang 11 decl (Decl n "SideL" t "std_ulogic" -o 2 +o 3 suid 28,0 ) ) @@ -204,19 +204,19 @@ uid 113,0 ) *26 (MRCItem litem &14 -pos 0 +pos 2 dimension 20 uid 475,0 ) *27 (MRCItem litem &15 -pos 1 +pos 6 dimension 20 uid 477,0 ) *28 (MRCItem litem &16 -pos 2 +pos 0 dimension 20 uid 479,0 ) @@ -240,7 +240,7 @@ uid 485,0 ) *32 (MRCItem litem &20 -pos 6 +pos 1 dimension 20 uid 487,0 ) @@ -481,11 +481,11 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\symbol.sb.user" ) (vvPair variable "SourceDir" @@ -497,7 +497,7 @@ value "HDL Designer" ) (vvPair variable "arch_name" -value "interface" +value "symbol" ) (vvPair variable "concat_file" @@ -517,19 +517,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "21" +value "15" ) (vvPair variable "entity_name" @@ -541,15 +541,15 @@ value "" ) (vvPair variable "f" -value "interface" +value "symbol.sb" ) (vvPair variable "f_logical" -value "interface" +value "symbol.sb" ) (vvPair variable "f_noext" -value "interface" +value "symbol" ) (vvPair variable "graphical_source_author" @@ -557,7 +557,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -569,7 +569,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:44:20" +value "18:50:01" ) (vvPair variable "group" @@ -593,7 +593,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -601,19 +601,19 @@ value "Motor_side" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\symbol.sb" ) (vvPair variable "package_name" @@ -629,19 +629,19 @@ value "HDL Designer Series" ) (vvPair variable "this_ext" -value "" +value "sb" ) (vvPair variable "this_file" -value "interface" +value "symbol" ) (vvPair variable "this_file_logical" -value "interface" +value "symbol" ) (vvPair variable "time" -value "13:44:20" +value "18:50:01" ) (vvPair variable "unit" @@ -657,15 +657,15 @@ value "2019.2 (Build 5)" ) (vvPair variable "view" -value "interface" +value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -718,7 +718,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 4 suid 22,0 ) ) @@ -765,7 +765,7 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 1 +o 2 suid 23,0 ) ) @@ -811,7 +811,7 @@ lang 11 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 1 suid 24,0 ) ) @@ -1001,7 +1001,7 @@ lang 11 decl (Decl n "SideL" t "std_ulogic" -o 2 +o 3 suid 28,0 ) ) @@ -1377,7 +1377,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,47000,46900,48000" +xt "36200,47000,46500,48000" st " %library/%unit/%view " @@ -1715,6 +1715,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 487,0 +lastUid 510,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@p@w@m/fsm.sm b/Cursor/hds/@p@w@m/fsm.sm index 9632454..ef7c6a8 100644 --- a/Cursor/hds/@p@w@m/fsm.sm +++ b/Cursor/hds/@p@w@m/fsm.sm @@ -39,23 +39,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -75,27 +75,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -119,11 +119,11 @@ value "fsm" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -131,11 +131,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "13:48:37" +value "15:10:10" ) (vvPair variable "group" @@ -143,7 +143,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "WE2332001" ) (vvPair variable "language" @@ -159,7 +159,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -167,19 +167,19 @@ value "PWM" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM\\fsm.sm" ) (vvPair variable "package_name" @@ -207,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "13:48:37" +value "15:10:10" ) (vvPair variable "unit" @@ -215,7 +215,7 @@ value "PWM" ) (vvPair variable "user" -value "Simon" +value "remi.heredero" ) (vvPair variable "version" @@ -227,11 +227,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -300,28 +300,25 @@ shape (Rectangle uid 52,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "33850,18700,44150,20100" +xt "24802,22134,35102,25134" ) autoResize 1 tline (Line uid 53,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "33950,18600,44050,18600" +xt "24902,23634,35002,23634" pts [ -"33950,18600" -"44050,18600" +"24902,23634" +"35002,23634" ] ) bline (Line @@ -330,12 +327,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "33950,18000,44050,18000" +xt "24902,22634,35002,22634" pts [ -"33950,18000" -"44050,18000" +"24902,22634" +"35002,22634" ] ) ttri (Triangle @@ -343,13 +340,12 @@ uid 55,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33500,18225,33850,18575" +xt "24452,22659,24802,23009" ) btri (Triangle uid 56,0 @@ -362,20 +358,21 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33500,15825,33850,16175" +xt "24452,20059,24802,20409" ) entryActions (MLText uid 57,0 va (VaSet ) -xt "33950,18400,33950,18400" +xt "24902,22234,35002,23434" +st "PWM_out <= '0' ;" tm "Actions" ) inActions (MLText uid 58,0 va (VaSet ) -xt "33950,18800,44050,20000" +xt "24902,23834,35002,25034" st "PWM_out <= '0' ;" tm "Actions" ) @@ -383,7 +380,7 @@ exitActions (MLText uid 59,0 va (VaSet ) -xt "35000,16000,35000,16000" +xt "25952,20234,25952,20234" tm "Actions" ) ) @@ -510,10 +507,10 @@ vasetType 3 ) xt "4150,19700,4749,20300" pts [ -"4749,20300" -"4449,20300" +"4749,19700" "4449,19700" -"4150,19700" +"4449,20300" +"4150,20300" ] ) (Line @@ -571,7 +568,7 @@ va (VaSet isHidden 1 ) xt "2400,17800,9000,19000" -st "reset = '0'" +st "reset = '1'" tm "SmControlConditionMgr" ) ) @@ -629,6 +626,7 @@ st "< Automatic >" tm "Actions" ) ) +level 1 ) *5 (Link uid 87,0 @@ -784,7 +782,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,46200,47000" st " by %user on %dd %month %year " @@ -1153,28 +1151,25 @@ shape (Rectangle uid 275,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "55390,18175,65690,19575" +xt "64844,14881,75144,17881" ) autoResize 1 tline (Line uid 276,0 va (VaSet vasetType 3 -isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "55490,18075,65590,18075" +xt "64944,16381,75044,16381" pts [ -"55490,18075" -"65590,18075" +"64944,16381" +"75044,16381" ] ) bline (Line @@ -1183,12 +1178,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "55490,17475,65590,17475" +xt "64944,15381,75044,15381" pts [ -"55490,17475" -"65590,17475" +"64944,15381" +"75044,15381" ] ) ttri (Triangle @@ -1196,13 +1191,12 @@ uid 278,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "55040,17700,55390,18050" +xt "64494,15406,64844,15756" ) btri (Triangle uid 279,0 @@ -1215,20 +1209,21 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "55040,15300,55390,15650" +xt "64494,12806,64844,13156" ) entryActions (MLText uid 280,0 va (VaSet ) -xt "55490,17875,55490,17875" +xt "64944,14981,75044,16181" +st "PWM_out <= '1' ;" tm "Actions" ) inActions (MLText uid 281,0 va (VaSet ) -xt "55490,18275,65590,19475" +xt "64944,16581,75044,17781" st "PWM_out <= '1' ;" tm "Actions" ) @@ -1236,7 +1231,7 @@ exitActions (MLText uid 282,0 va (VaSet ) -xt "56540,15475,56540,15475" +xt "65994,12981,65994,12981" tm "Actions" ) ) @@ -1272,7 +1267,7 @@ start &4 end &5 ss 0 es 0 -cond "reset = '0'" +cond "reset = '1'" tb (TransitionBlock uid 106,0 ps "CenterOffsetStrategy" @@ -1284,7 +1279,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "8150,18900,15750,21100" +xt "6584,19654,14184,21854" ) autoResize 1 lineShape (Line @@ -1292,18 +1287,18 @@ uid 108,0 va (VaSet vasetType 3 ) -xt "8650,20500,15250,20500" +xt "7084,21254,13684,21254" pts [ -"8650,20500" -"15250,20500" +"7084,21254" +"13684,21254" ] ) condition (MLText uid 109,0 va (VaSet ) -xt "8650,18900,15250,20100" -st "reset = '0'" +xt "7084,19654,13684,20854" +st "reset = '1'" tm "Condition" ) actions (MLText @@ -1311,7 +1306,7 @@ uid 110,0 va (VaSet isHidden 1 ) -xt "7650,20900,16250,22100" +xt "6084,21654,14684,22854" st "< Automatic >" tm "Actions" ) @@ -1447,7 +1442,7 @@ arrow 1 ) start &2 end &19 -cond "countOut <= Power" +cond "countOut = \"00000000\"" tb (TransitionBlock uid 285,0 ps "CenterOffsetStrategy" @@ -1459,7 +1454,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "39834,8420,51934,10620" +xt "38094,7492,53794,9692" ) autoResize 1 lineShape (Line @@ -1468,25 +1463,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "42984,10520,42984,10520" +xt "41244,9592,41244,9592" pts [ -"42984,10520" -"42984,10520" +"41244,9592" +"41244,9592" ] ) condition (MLText uid 288,0 va (VaSet ) -xt "40334,8920,51434,10120" -st "countOut <= Power" +xt "38594,7992,53294,9192" +st "countOut = \"00000000\"" tm "Condition" ) actions (MLText uid 289,0 va (VaSet ) -xt "45884,10520,45884,10520" +xt "45944,9592,45944,9592" tm "Actions" ) ) @@ -1534,7 +1529,7 @@ arrow 1 ) start &19 end &2 -cond "countOut < Power" +cond "countOut >= Power" tb (TransitionBlock uid 295,0 ps "CenterOffsetStrategy" @@ -1546,7 +1541,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "41526,21311,52926,23511" +xt "41004,21543,53104,23743" ) autoResize 1 lineShape (Line @@ -1555,25 +1550,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "44676,23411,44676,23411" +xt "44154,23643,44154,23643" pts [ -"44676,23411" -"44676,23411" +"44154,23643" +"44154,23643" ] ) condition (MLText uid 298,0 va (VaSet ) -xt "42026,21811,52426,23011" -st "countOut < Power" +xt "41504,22043,52604,23243" +st "countOut >= Power" tm "Condition" ) actions (MLText uid 299,0 va (VaSet ) -xt "47226,23411,47226,23411" +xt "47054,23643,47054,23643" tm "Actions" ) ) @@ -1717,8 +1712,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "-4300,-4700,81946,51154" +windowSize "0,0,1716,1080" +viewArea "-4300,-4700,82004,50516" cachedDiagramExtent "-650,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" @@ -2091,7 +2086,7 @@ stateOrder [ name "csm" ) ] -lastUid 360,0 +lastUid 534,0 commonDM (CommonDM ldm (LogicalDM emptyRow *56 (LEmptyRow @@ -2195,8 +2190,8 @@ o 4 ) ) uid 162,0 -cat 8 -expr "reset = '0'" +cat 9 +expr "reset = '1'" ) *79 (LeafLogPort port (LogicalPort @@ -3415,6 +3410,6 @@ pts [ ] ) ) -activeModelName "StateMachine:CDM" +activeModelName "StateMachine" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/@p@w@m/interface b/Cursor/hds/@p@w@m/symbol.sb similarity index 99% rename from Cursor/hds/@p@w@m/interface rename to Cursor/hds/@p@w@m/symbol.sb index 83b7e2e..d872000 100644 --- a/Cursor/hds/@p@w@m/interface +++ b/Cursor/hds/@p@w@m/symbol.sb @@ -71,7 +71,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 2 suid 27,0 ) ) @@ -83,7 +83,7 @@ decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 3 suid 28,0 ) ) @@ -109,7 +109,7 @@ m 1 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 5 suid 30,0 ) ) @@ -121,7 +121,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 31,0 ) ) @@ -179,31 +179,31 @@ uid 113,0 ) *24 (MRCItem litem &14 -pos 0 +pos 1 dimension 20 uid 546,0 ) *25 (MRCItem litem &15 -pos 1 +pos 2 dimension 20 uid 548,0 ) *26 (MRCItem litem &16 -pos 2 +pos 0 dimension 20 uid 550,0 ) *27 (MRCItem litem &17 -pos 3 +pos 4 dimension 20 uid 552,0 ) *28 (MRCItem litem &18 -pos 4 +pos 3 dimension 20 uid 554,0 ) @@ -480,7 +480,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\P ) (vvPair variable "date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "day" @@ -492,7 +492,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "11" ) (vvPair variable "entity_name" @@ -520,7 +520,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "graphical_source_group" @@ -532,7 +532,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:48:40" +value "14:04:37" ) (vvPair variable "group" @@ -556,7 +556,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -564,11 +564,11 @@ value "PWM" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -604,7 +604,7 @@ value "interface" ) (vvPair variable "time" -value "13:48:40" +value "14:04:37" ) (vvPair variable "unit" @@ -624,11 +624,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -681,7 +681,7 @@ lang 11 decl (Decl n "clock" t "std_ulogic" -o 3 +o 2 suid 27,0 ) ) @@ -727,7 +727,7 @@ decl (Decl n "countOut" t "unsigned" b "(7 DOWNTO 0)" -o 12 +o 3 suid 28,0 ) ) @@ -822,7 +822,7 @@ m 1 decl (Decl n "PWM_out" t "std_ulogic" -o 12 +o 5 suid 30,0 ) ) @@ -870,7 +870,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 31,0 ) ) @@ -1584,6 +1584,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 554,0 +lastUid 577,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position@block/interface b/Cursor/hds/@position@block/interface index d3a59f3..1a1cc86 100644 --- a/Cursor/hds/@position@block/interface +++ b/Cursor/hds/@position@block/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 19,0 +suid 33,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -62,14 +67,15 @@ tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort +lang 11 decl (Decl n "clock" t "std_ulogic" o 2 -suid 13,0 +suid 27,0 ) ) -uid 342,0 +uid 785,0 ) *15 (LogPort port (LogicalPort @@ -77,10 +83,10 @@ decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 14,0 +suid 28,0 ) ) -uid 344,0 +uid 787,0 ) *16 (LogPort port (LogicalPort @@ -88,10 +94,10 @@ decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 15,0 +suid 29,0 ) ) -uid 346,0 +uid 789,0 ) *17 (LogPort port (LogicalPort @@ -99,10 +105,10 @@ decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 16,0 +suid 30,0 ) ) -uid 348,0 +uid 791,0 ) *18 (LogPort port (LogicalPort @@ -112,11 +118,11 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 7 -suid 17,0 +o 22 +suid 31,0 ) ) -uid 350,0 +uid 793,0 ) *19 (LogPort port (LogicalPort @@ -124,22 +130,23 @@ lang 11 decl (Decl n "RaZ" t "std_ulogic" -o 1 -suid 18,0 +o 24 +suid 32,0 ) ) -uid 352,0 +uid 795,0 ) *20 (LogPort port (LogicalPort +lang 11 decl (Decl n "reset" t "std_ulogic" -o 6 -suid 19,0 +o 8 +suid 33,0 ) ) -uid 354,0 +uid 797,0 ) ] ) @@ -195,43 +202,43 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 343,0 +uid 786,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 345,0 +uid 788,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 347,0 +uid 790,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 349,0 +uid 792,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 351,0 +uid 794,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 353,0 +uid 796,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 355,0 +uid 798,0 ) ] ) @@ -462,23 +469,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -498,27 +505,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -542,11 +549,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -554,11 +561,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "15:01:17" +value "17:47:43" ) (vvPair variable "group" @@ -566,7 +573,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -582,7 +589,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -590,19 +597,19 @@ value "PositionBlock" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\interface" ) (vvPair variable "package_name" @@ -630,7 +637,7 @@ value "interface" ) (vvPair variable "time" -value "15:01:17" +value "17:47:43" ) (vvPair variable "unit" @@ -638,7 +645,7 @@ value "PositionBlock" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -650,11 +657,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -665,10 +672,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 307,0 +uid 750,0 ps "OnEdgeStrategy" shape (Triangle -uid 308,0 +uid 751,0 ro 90 va (VaSet vasetType 1 @@ -677,11 +684,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 309,0 +uid 752,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 310,0 +uid 753,0 va (VaSet font "Verdana,12,0" ) @@ -692,27 +699,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 311,0 +uid 754,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "clock" t "std_ulogic" o 2 -suid 13,0 +suid 27,0 ) ) ) *67 (CptPort -uid 312,0 +uid 755,0 ps "OnEdgeStrategy" shape (Triangle -uid 313,0 +uid 756,0 ro 90 va (VaSet vasetType 1 @@ -721,11 +730,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 314,0 +uid 757,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 315,0 +uid 758,0 va (VaSet font "Verdana,12,0" ) @@ -736,27 +745,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 316,0 +uid 759,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 14,0 +suid 28,0 ) ) ) *68 (CptPort -uid 317,0 +uid 760,0 ps "OnEdgeStrategy" shape (Triangle -uid 318,0 +uid 761,0 ro 90 va (VaSet vasetType 1 @@ -765,11 +775,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 319,0 +uid 762,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 320,0 +uid 763,0 va (VaSet font "Verdana,12,0" ) @@ -780,27 +790,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 321,0 +uid 764,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 15,0 +suid 29,0 ) ) ) *69 (CptPort -uid 322,0 +uid 765,0 ps "OnEdgeStrategy" shape (Triangle -uid 323,0 +uid 766,0 ro 90 va (VaSet vasetType 1 @@ -809,11 +820,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 324,0 +uid 767,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 325,0 +uid 768,0 va (VaSet font "Verdana,12,0" ) @@ -824,27 +835,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 326,0 +uid 769,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "encoderI : IN std_uLogic ;" +st "encoderI : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 16,0 +suid 30,0 ) ) ) *70 (CptPort -uid 327,0 +uid 770,0 ps "OnEdgeStrategy" shape (Triangle -uid 328,0 +uid 771,0 ro 90 va (VaSet vasetType 1 @@ -853,11 +865,11 @@ fg "0,65535,0" xt "23000,7625,23750,8375" ) tg (CPTG -uid 329,0 +uid 772,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 330,0 +uid 773,0 va (VaSet font "Verdana,12,0" ) @@ -869,12 +881,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 331,0 +uid 774,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,66000,8000" -st "Position : OUT unsigned (15 DOWNTO 0)" +st "Position : OUT unsigned (15 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -883,16 +896,16 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 7 -suid 17,0 +o 22 +suid 31,0 ) ) ) *71 (CptPort -uid 332,0 +uid 775,0 ps "OnEdgeStrategy" shape (Triangle -uid 333,0 +uid 776,0 ro 270 va (VaSet vasetType 1 @@ -901,11 +914,11 @@ fg "0,65535,0" xt "23000,14625,23750,15375" ) tg (CPTG -uid 334,0 +uid 777,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 335,0 +uid 778,0 va (VaSet font "Verdana,12,0" ) @@ -917,28 +930,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 336,0 +uid 779,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "RaZ : IN std_ulogic ;" +st "RaZ : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "RaZ" t "std_ulogic" -o 1 -suid 18,0 +o 24 +suid 32,0 ) ) ) *72 (CptPort -uid 337,0 +uid 780,0 ps "OnEdgeStrategy" shape (Triangle -uid 338,0 +uid 781,0 ro 90 va (VaSet vasetType 1 @@ -947,11 +961,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 339,0 +uid 782,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 340,0 +uid 783,0 va (VaSet font "Verdana,12,0" ) @@ -962,19 +976,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 341,0 +uid 784,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,61000,7200" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "reset" t "std_ulogic" -o 6 -suid 19,0 +o 8 +suid 33,0 ) ) ) @@ -998,18 +1014,18 @@ uid 11,0 va (VaSet font "Verdana,9,1" ) -xt "16650,9800,20350,11000" +xt "15000,9800,18700,11000" st "Cursor" -blo "16650,10800" +blo "15000,10800" ) second (Text uid 12,0 va (VaSet font "Verdana,9,1" ) -xt "16650,11000,24650,12200" +xt "15000,11000,23000,12200" st "PositionBlock" -blo "16650,12000" +blo "15000,12000" ) ) gi *73 (GenericInterface @@ -1063,7 +1079,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,49600,49000" st " by %user on %dd %month %year " @@ -1404,10 +1420,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1685,6 +1703,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 585,0 +lastUid 798,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position@block/position@diagram.bd b/Cursor/hds/@position@block/position@diagram.bd index a716e98..69b070f 100644 --- a/Cursor/hds/@position@block/position@diagram.bd +++ b/Cursor/hds/@position@block/position@diagram.bd @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] instances [ (Instance @@ -53,23 +49,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -89,15 +85,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" ) (vvPair variable "date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -109,7 +105,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "18" ) (vvPair variable "entity_name" @@ -133,11 +129,11 @@ value "position@diagram" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -145,11 +141,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "15:01:17" +value "14:29:46" ) (vvPair variable "group" @@ -157,7 +153,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -173,7 +169,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -181,19 +177,19 @@ value "PositionBlock" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\positionDiagram.bd" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\positionDiagram.bd" ) (vvPair variable "package_name" @@ -221,7 +217,7 @@ value "positionDiagram" ) (vvPair variable "time" -value "15:01:17" +value "14:29:46" ) (vvPair variable "unit" @@ -229,7 +225,7 @@ value "PositionBlock" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -241,11 +237,11 @@ value "positionDiagram" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -301,7 +297,7 @@ tm "WireNameMgr" uid 21,0 decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -311,7 +307,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,3200,34000,4000" -st "clock : std_ulogic" +st "clock : std_uLogic +" ) ) *3 (PortIoIn @@ -373,7 +370,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,4000,34000,4800" -st "encoderA : std_uLogic" +st "encoderA : std_uLogic +" ) ) *5 (PortIoIn @@ -435,7 +433,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,4800,34000,5600" -st "encoderB : std_uLogic" +st "encoderB : std_uLogic +" ) ) *7 (PortIoIn @@ -497,7 +496,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,5600,34000,6400" -st "encoderI : std_uLogic" +st "encoderI : std_uLogic +" ) ) *9 (Grouping @@ -522,7 +522,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "27200,48000,36400,49000" +xt "27200,48000,37200,49000" st " by %user on %dd %month %year " @@ -894,7 +894,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,7200,39500,8000" -st "Position : unsigned(15 DOWNTO 0)" +st "Position : unsigned(15 DOWNTO 0) +" ) ) *22 (PortIoIn @@ -957,7 +958,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,2400,34000,3200" -st "RaZ : std_ulogic" +st "RaZ : std_ulogic +" ) ) *24 (PortIoIn @@ -1009,8 +1011,8 @@ tm "WireNameMgr" uid 235,0 decl (Decl n "reset" -t "std_ulogic" -o 8 +t "std_uLogic" +o 6 suid 8,0 ) declText (MLText @@ -1019,7 +1021,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,6400,34000,7200" -st "reset : std_ulogic" +st "reset : std_uLogic +" ) ) *26 (Blk @@ -1108,7 +1111,7 @@ uid 540,0 decl (Decl n "up" t "std_uLogic" -o 8 +o 9 suid 11,0 ) declText (MLText @@ -1117,7 +1120,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,10000,37500,10800" -st "SIGNAL up : std_uLogic" +st "SIGNAL up : std_uLogic +" ) ) *31 (Net @@ -1125,7 +1129,7 @@ uid 548,0 decl (Decl n "down" t "std_uLogic" -o 9 +o 8 suid 12,0 ) declText (MLText @@ -1134,7 +1138,8 @@ va (VaSet font "Courier New,8,0" ) xt "22000,9200,37500,10000" -st "SIGNAL down : std_uLogic" +st "SIGNAL down : std_uLogic +" ) ) *32 (Blk @@ -1649,13 +1654,12 @@ blo "0,1000" uid 123,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -1733,12 +1737,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,40,1537,960" -viewArea "-23700,-7200,73166,51408" +windowSize "0,40,1540,960" +viewArea "-23700,-7200,73388,51408" cachedDiagramExtent "-8500,0,64000,49000" hasePageBreakOrigin 1 pageBreakOrigin "-9000,0" -lastUid 748,0 +lastUid 907,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2854,7 +2858,7 @@ uid 83,0 port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -2891,8 +2895,8 @@ uid 192,0 port (LogicalPort decl (Decl n "reset" -t "std_ulogic" -o 8 +t "std_uLogic" +o 6 suid 8,0 ) ) @@ -2904,7 +2908,7 @@ m 4 decl (Decl n "up" t "std_uLogic" -o 8 +o 9 suid 11,0 ) ) @@ -2916,7 +2920,7 @@ m 4 decl (Decl n "down" t "std_uLogic" -o 9 +o 8 suid 12,0 ) ) diff --git a/Cursor/hds/@position@block/struct.bd b/Cursor/hds/@position@block/struct.bd new file mode 100644 index 0000000..4e51446 --- /dev/null +++ b/Cursor/hds/@position@block/struct.bd @@ -0,0 +1,2852 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" +) +(vvPair +variable "date" +value "09.01.2022" +) +(vvPair +variable "day" +value "dim." +) +(vvPair +variable "day_long" +value "dimanche" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "entity_name" +value "PositionBlock" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "09.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:33:36" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "PositionBlock" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:33:36" +) +(vvPair +variable "unit" +value "PositionBlock" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 164,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,7400,-3000,8600" +st "clock" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34000,4000" +st "clock : std_uLogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-8500,11400,-3000,12600" +st "encoderA" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34000,4800" +st "encoderA : std_uLogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-8400,15400,-3000,16600" +st "encoderB" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34000,5600" +st "encoderB : std_uLogic" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-8200,19400,-3000,20600" +st "encoderI" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34000,6400" +st "encoderI : std_uLogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "63000,7400,67800,8600" +st "Position" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,39500,8000" +st "Position : unsigned(15 DOWNTO 0)" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-5700,23400,-3000,24600" +st "RaZ" +ju 2 +blo "-3000,24400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,34000,3200" +st "RaZ : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,27625,-500,28375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,28000,0,28000" +pts [ +"-500,28000" +"0,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-6300,27400,-3000,28600" +st "reset" +ju 2 +blo "-3000,28400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34000,7200" +st "reset : std_uLogic" +) +) +*15 (Grouping +uid 121,0 +optionalChildren [ +*16 (CommentText +uid 123,0 +shape (Rectangle +uid 124,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 125,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 143,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,37700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 122,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*26 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,5400,8000" +st "clock" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*27 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,7500,12000" +st "encoderA" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &4 +) +*28 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &5 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,7400,16000" +st "encoderB" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &6 +) +*29 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,7200,20000" +st "encoderI" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &8 +) +*30 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,8000,60000,8000" +pts [ +"60000,8000" +"50000,8000" +] +) +start &9 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,68800,8000" +st "Position : (15:0)" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &10 +) +*31 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,4700,24000" +st "RaZ" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*32 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,28000,10000,28000" +pts [ +"0,28000" +"10000,28000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,26800,5300,28000" +st "reset" +blo "2000,27800" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 153,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 154,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*35 (MLText +uid 155,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 156,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 157,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*37 (Text +uid 158,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*38 (MLText +uid 159,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 160,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*40 (MLText +uid 161,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 162,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*42 (MLText +uid 163,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "408,114,1424,804" +viewArea "-8500,0,68724,52528" +cachedDiagramExtent "-8500,0,68800,49000" +pageBreakOrigin "0,0" +lastUid 220,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*61 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*63 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8000,29500,9200" +st "Diagram Signals:" +blo "20000,9000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 6,0 +) +) +uid 107,0 +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +) +uid 109,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 111,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +) +uid 113,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 115,0 +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +uid 117,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 119,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 179,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &64 +pos 7 +dimension 20 +) +uid 181,0 +optionalChildren [ +*86 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 182,0 +) +*87 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 183,0 +) +*88 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*89 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 108,0 +) +*90 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 110,0 +) +*91 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 112,0 +) +*92 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 114,0 +) +*93 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 116,0 +) +*94 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 118,0 +) +*95 (MRCItem +litem &83 +pos 6 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 185,0 +optionalChildren [ +*96 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 186,0 +) +*97 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 187,0 +) +*98 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 188,0 +) +*99 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 189,0 +) +*100 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 190,0 +) +*101 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 191,0 +) +*102 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 192,0 +) +*103 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 193,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 180,0 +vaOverrides [ +] +) +] +) +uid 165,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *104 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*105 (RefLabelRowHdr +) +*106 (TitleRowHdr +) +*107 (FilterRowHdr +) +*108 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*109 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*110 (GroupColHdr +tm "GroupColHdrMgr" +) +*111 (NameColHdr +tm "GenericNameColHdrMgr" +) +*112 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*113 (InitColHdr +tm "GenericValueColHdrMgr" +) +*114 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*115 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*116 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *117 (MRCItem +litem &104 +pos 0 +dimension 20 +) +uid 209,0 +optionalChildren [ +*118 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 210,0 +) +*119 (MRCItem +litem &106 +pos 1 +dimension 23 +uid 211,0 +) +*120 (MRCItem +litem &107 +pos 2 +hidden 1 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*121 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 214,0 +) +*122 (MRCItem +litem &110 +pos 1 +dimension 50 +uid 215,0 +) +*123 (MRCItem +litem &111 +pos 2 +dimension 100 +uid 216,0 +) +*124 (MRCItem +litem &112 +pos 3 +dimension 100 +uid 217,0 +) +*125 (MRCItem +litem &113 +pos 4 +dimension 50 +uid 218,0 +) +*126 (MRCItem +litem &114 +pos 5 +dimension 50 +uid 219,0 +) +*127 (MRCItem +litem &115 +pos 6 +dimension 80 +uid 220,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 208,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/_driver2._epf b/Cursor/hds/_driver2._epf new file mode 100644 index 0000000..0ad29fe --- /dev/null +++ b/Cursor/hds/_driver2._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom driver2/struct.bd diff --git a/Cursor/hds/_if0._epf b/Cursor/hds/_if0._epf new file mode 100644 index 0000000..8f9a055 --- /dev/null +++ b/Cursor/hds/_if0._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom if0/fsm.sm diff --git a/Cursor/hds/_if1._epf b/Cursor/hds/_if1._epf new file mode 100644 index 0000000..2fcecb4 --- /dev/null +++ b/Cursor/hds/_if1._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom if1/fsm.sm diff --git a/Cursor/hds/_main._epf b/Cursor/hds/_main._epf index c280c3d..757b239 100644 --- a/Cursor/hds/_main._epf +++ b/Cursor/hds/_main._epf @@ -1,2 +1,2 @@ -DEFAULT_ARCHITECTURE atom struct -DEFAULT_FILE atom @main/struct.bd +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom @main/fsm.sm diff --git a/Cursor/hds/_main2._epf b/Cursor/hds/_main2._epf new file mode 100644 index 0000000..9bbd157 --- /dev/null +++ b/Cursor/hds/_main2._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom @main2/fsm.sm diff --git a/Cursor/hds/accelerator/interface b/Cursor/hds/accelerator/interface index b0db6de..9d0a71d 100644 --- a/Cursor/hds/accelerator/interface +++ b/Cursor/hds/accelerator/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 129,0 +suid 136,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 123,0 +suid 130,0 ) ) -uid 1549,0 +uid 1621,0 ) *15 (LogPort port (LogicalPort @@ -79,10 +79,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 124,0 +suid 131,0 ) ) -uid 1551,0 +uid 1623,0 ) *16 (LogPort port (LogicalPort @@ -92,10 +92,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 125,0 +suid 132,0 ) ) -uid 1553,0 +uid 1625,0 ) *17 (LogPort port (LogicalPort @@ -105,10 +105,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 126,0 +suid 133,0 ) ) -uid 1555,0 +uid 1627,0 ) *18 (LogPort port (LogicalPort @@ -119,22 +119,22 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 127,0 +suid 134,0 ) ) -uid 1557,0 +uid 1629,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 128,0 +suid 135,0 ) ) -uid 1559,0 +uid 1631,0 ) *20 (LogPort port (LogicalPort @@ -143,10 +143,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 129,0 +suid 136,0 ) ) -uid 1561,0 +uid 1633,0 ) ] ) @@ -202,43 +202,43 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 1550,0 +uid 1622,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 1552,0 +uid 1624,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 1554,0 +uid 1626,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 1556,0 +uid 1628,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 1558,0 +uid 1630,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 1560,0 +uid 1632,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 1562,0 +uid 1634,0 ) ] ) @@ -513,19 +513,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -553,7 +553,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -565,7 +565,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "group" @@ -589,7 +589,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -597,11 +597,11 @@ value "accelerator" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -637,7 +637,7 @@ value "interface" ) (vvPair variable "time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "unit" @@ -657,11 +657,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -672,10 +672,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 1514,0 +uid 1586,0 ps "OnEdgeStrategy" shape (Triangle -uid 1515,0 +uid 1587,0 ro 90 va (VaSet vasetType 1 @@ -684,11 +684,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1516,0 +uid 1588,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1517,0 +uid 1589,0 va (VaSet font "Verdana,12,0" ) @@ -699,26 +699,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1518,0 +uid 1590,0 va (VaSet font "Courier New,8,0" ) +xt "44000,3200,66500,4000" +st "clk : IN std_uLogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 123,0 +suid 130,0 ) ) ) *67 (CptPort -uid 1519,0 +uid 1591,0 ps "OnEdgeStrategy" shape (Triangle -uid 1520,0 +uid 1592,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -726,11 +729,11 @@ fg "0,65535,0" xt "19625,16000,20375,16750" ) tg (CPTG -uid 1521,0 +uid 1593,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1522,0 +uid 1594,0 ro 270 va (VaSet font "Verdana,12,0" @@ -742,10 +745,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1523,0 +uid 1595,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4000,66500,4800" +st "end_acceleration : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -753,15 +759,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 124,0 +suid 131,0 ) ) ) *68 (CptPort -uid 1524,0 +uid 1596,0 ps "OnEdgeStrategy" shape (Triangle -uid 1525,0 +uid 1597,0 ro 90 va (VaSet vasetType 1 @@ -770,11 +776,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1526,0 +uid 1598,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1527,0 +uid 1599,0 va (VaSet font "Verdana,12,0" ) @@ -785,10 +791,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1528,0 +uid 1600,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4800,72000,5600" +st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -797,15 +806,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 125,0 +suid 132,0 ) ) ) *69 (CptPort -uid 1529,0 +uid 1601,0 ps "OnEdgeStrategy" shape (Triangle -uid 1530,0 +uid 1602,0 ro 90 va (VaSet vasetType 1 @@ -814,11 +823,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1531,0 +uid 1603,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1532,0 +uid 1604,0 va (VaSet font "Verdana,12,0" ) @@ -829,10 +838,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1533,0 +uid 1605,0 va (VaSet font "Courier New,8,0" ) +xt "44000,2400,72000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -841,15 +853,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 126,0 +suid 133,0 ) ) ) *70 (CptPort -uid 1534,0 +uid 1606,0 ps "OnEdgeStrategy" shape (Triangle -uid 1535,0 +uid 1607,0 ro 90 va (VaSet vasetType 1 @@ -858,11 +870,11 @@ fg "0,65535,0" xt "23000,7625,23750,8375" ) tg (CPTG -uid 1536,0 +uid 1608,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1537,0 +uid 1609,0 va (VaSet font "Verdana,12,0" ) @@ -874,10 +886,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1538,0 +uid 1610,0 va (VaSet font "Courier New,8,0" ) +xt "44000,7200,70500,8000" +st "power_acceleration : OUT unsigned (7 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -887,15 +902,15 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 127,0 +suid 134,0 ) ) ) *71 (CptPort -uid 1539,0 +uid 1611,0 ps "OnEdgeStrategy" shape (Triangle -uid 1540,0 +uid 1612,0 ro 90 va (VaSet vasetType 1 @@ -904,11 +919,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1541,0 +uid 1613,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1542,0 +uid 1614,0 va (VaSet font "Verdana,12,0" ) @@ -919,26 +934,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1543,0 +uid 1615,0 va (VaSet font "Courier New,8,0" ) +xt "44000,5600,66500,6400" +st "rst : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 128,0 +suid 135,0 ) ) ) *72 (CptPort -uid 1544,0 +uid 1616,0 ps "OnEdgeStrategy" shape (Triangle -uid 1545,0 +uid 1617,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -946,11 +964,11 @@ fg "0,65535,0" xt "17625,16000,18375,16750" ) tg (CPTG -uid 1546,0 +uid 1618,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1547,0 +uid 1619,0 ro 270 va (VaSet font "Verdana,12,0" @@ -962,10 +980,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1548,0 +uid 1620,0 va (VaSet font "Courier New,8,0" ) +xt "44000,6400,66500,7200" +st "sideL_acceleration : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -973,7 +994,7 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 129,0 +suid 136,0 ) ) ) @@ -1684,6 +1705,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1562,0 +lastUid 1634,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/button_position/fsm.sm b/Cursor/hds/button_position/fsm.sm index 0b11436..88ff9a6 100644 --- a/Cursor/hds/button_position/fsm.sm +++ b/Cursor/hds/button_position/fsm.sm @@ -34,23 +34,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -70,27 +70,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" ) (vvPair variable "date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "21" +value "15" ) (vvPair variable "entity_name" @@ -114,11 +114,11 @@ value "fsm" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -126,11 +126,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:26:45" +value "15:21:55" ) (vvPair variable "group" @@ -138,7 +138,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -154,7 +154,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -162,19 +162,19 @@ value "button_position" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" ) (vvPair variable "package_name" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "15:26:45" +value "15:21:55" ) (vvPair variable "unit" @@ -210,7 +210,7 @@ value "button_position" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -222,11 +222,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -468,14 +468,14 @@ vasetType 1 fg "65535,65535,65535" lineColor "0,32768,49152" ) -xt "5500,10300,22800,11700" +xt "5500,10373,22800,11773" ) autoResize 1 cond (MLText uid 69,0 va (VaSet ) -xt "5600,10400,22700,11600" +xt "5600,10473,22700,11673" st "clock'EVENT AND clock = '1'" tm "SmControlConditionMgr" ) @@ -505,10 +505,10 @@ vasetType 3 ) xt "4150,19700,4749,20300" pts [ -"4749,20300" -"4449,20300" +"4749,19700" "4449,19700" -"4150,19700" +"4449,20300" +"4150,20300" ] ) (Line @@ -566,7 +566,7 @@ va (VaSet isHidden 1 ) xt "2400,17800,9000,19000" -st "reset = '0'" +st "reset = '1'" tm "SmControlConditionMgr" ) ) @@ -624,6 +624,7 @@ st "< Automatic >" tm "Actions" ) ) +level 1 ) *5 (Link uid 87,0 @@ -779,7 +780,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,45400,47000" +xt "36200,46000,46200,47000" st " by %user on %dd %month %year " @@ -1224,7 +1225,7 @@ uid 185,0 va (VaSet ) xt "64978,22604,75078,23804" -st "button <= \"001\";" +st "button <= \"100\";" tm "Actions" ) exitActions (MLText @@ -1419,18 +1420,18 @@ fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) -xt "18557,27341,25659,34443" -radius 3551 +xt "15159,26133,28181,39155" +radius 6511 ) name (Text uid 206,0 va (VaSet font "Verdana,12,1" ) -xt "20108,30192,24108,31592" -st "start" +xt "15770,31944,27570,33344" +st "remise_a_zero" ju 0 -blo "22108,31392" +blo "21670,33144" tm "ONodeName" ) wait (TextAssociate @@ -1443,9 +1444,9 @@ isHidden 1 fg "0,0,32768" font "Verdana,12,1" ) -xt "21858,31092,26958,32492" +xt "21420,32844,26520,34244" st "wait 2" -blo "21858,32292" +blo "21420,34044" tm "SmWaitText" ) ) @@ -1455,8 +1456,8 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "22108,31992,22108,31992" -blo "22108,31992" +xt "21670,33744,21670,33744" +blo "21670,33744" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock @@ -1473,7 +1474,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "20022,31504,30322,32904" +xt "19584,33256,29884,34656" ) autoResize 1 tline (Line @@ -1484,10 +1485,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "20122,31404,30222,31404" +xt "19684,33156,29784,33156" pts [ -"20122,31404" -"30222,31404" +"19684,33156" +"29784,33156" ] ) bline (Line @@ -1498,10 +1499,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "20122,30804,30222,30804" +xt "19684,32556,29784,32556" pts [ -"20122,30804" -"30222,30804" +"19684,32556" +"29784,32556" ] ) ttri (Triangle @@ -1515,7 +1516,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "19672,31029,20022,31379" +xt "19234,32781,19584,33131" ) btri (Triangle uid 217,0 @@ -1528,28 +1529,28 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "19672,28629,20022,28979" +xt "19234,30381,19584,30731" ) entryActions (MLText uid 218,0 va (VaSet ) -xt "20122,31204,20122,31204" +xt "19684,32956,19684,32956" tm "Actions" ) inActions (MLText uid 219,0 va (VaSet ) -xt "20122,31604,30222,32804" -st "button <= \"100\";" +xt "19684,33356,29784,34556" +st "button <= \"001\";" tm "Actions" ) exitActions (MLText uid 220,0 va (VaSet ) -xt "21172,28804,21172,28804" +xt "20734,30556,20734,30556" tm "Actions" ) ) @@ -1562,7 +1563,7 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "20008,32092,26708,33292" +xt "19570,33844,26270,35044" st "CASE: expr" tm "SmCaseExpr" ) @@ -1585,7 +1586,7 @@ start &4 end &5 ss 0 es 0 -cond "reset = '0'" +cond "reset = '1'" tb (TransitionBlock uid 106,0 ps "CenterOffsetStrategy" @@ -1616,7 +1617,7 @@ uid 109,0 va (VaSet ) xt "8650,18900,15250,20100" -st "reset = '0'" +st "reset = '1'" tm "Condition" ) actions (MLText @@ -1871,10 +1872,10 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "53973,20743,53973,20743" +xt "49923,20743,60023,20743" pts [ -"53973,20743" -"53973,20743" +"49923,20743" +"60023,20743" ] ) condition (MLText @@ -1889,7 +1890,7 @@ actions (MLText uid 297,0 va (VaSet ) -xt "54973,20743,54973,20743" +xt "49923,20743,60023,21943" tm "Actions" ) ) @@ -2049,10 +2050,10 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "39021,20581,39021,20581" +xt "34971,20581,45071,20581" pts [ -"39021,20581" -"39021,20581" +"34971,20581" +"45071,20581" ] ) condition (MLText @@ -2067,7 +2068,7 @@ actions (MLText uid 317,0 va (VaSet ) -xt "40021,20581,40021,20581" +xt "34971,20581,45071,21781" tm "Actions" ) ) @@ -2106,11 +2107,11 @@ uid 322,0 va (VaSet vasetType 3 ) -xt "24650,11137,35434,28413" +xt "26203,11141,35448,27971" pts [ -"35434,11137" -"32486,20769" -"24650,28413" +"35448,11141" +"32243,21744" +"26203,27971" ] arrow 1 ) @@ -2130,7 +2131,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "28602,21565,37002,23765" +xt "28359,22540,36759,24740" ) autoResize 1 lineShape (Line @@ -2139,17 +2140,17 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "31752,23665,31752,23665" +xt "31509,24640,31509,24640" pts [ -"31752,23665" -"31752,23665" +"31509,24640" +"31509,24640" ] ) condition (MLText uid 326,0 va (VaSet ) -xt "29102,22065,36502,23265" +xt "28859,23040,36259,24240" st "restart = '1'" tm "Condition" ) @@ -2157,7 +2158,7 @@ actions (MLText uid 327,0 va (VaSet ) -xt "32802,23665,32802,23665" +xt "32559,24640,32559,24640" tm "Actions" ) ) @@ -2171,17 +2172,17 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "33896,12130,36022,14256" +xt "33895,11999,36021,14125" radius 1063 ) pr (Text uid 330,0 va (VaSet ) -xt "34259,12593,35659,13793" +xt "34258,12462,35658,13662" st "3" ju 0 -blo "34959,13593" +blo "34958,13462" tm "TransitionPriority" ) padding "100,100" @@ -2194,11 +2195,11 @@ uid 332,0 va (VaSet vasetType 3 ) -xt "22966,10054,33662,27447" +xt "23245,10160,33769,26327" pts [ -"22966,27447" -"25268,18215" -"33662,10054" +"23245,26327" +"24989,19333" +"33769,10160" ] arrow 1 ) @@ -2218,7 +2219,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "24120,15123,32420,17323" +xt "23841,16241,32141,18441" ) autoResize 1 lineShape (Line @@ -2227,17 +2228,17 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "27270,17223,27270,17223" +xt "22941,18341,33041,18341" pts [ -"27270,17223" -"27270,17223" +"22941,18341" +"33041,18341" ] ) condition (MLText uid 336,0 va (VaSet ) -xt "24620,15623,31920,16823" +xt "24341,16741,31641,17941" st "unlock = '1'" tm "Condition" ) @@ -2245,7 +2246,7 @@ actions (MLText uid 337,0 va (VaSet ) -xt "28270,17223,28270,17223" +xt "22941,18341,33041,19541" tm "Actions" ) ) @@ -2260,7 +2261,7 @@ isHidden 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "22229,24278,24355,26404" +xt "22396,23273,24522,25399" radius 1063 ) pr (Text @@ -2268,10 +2269,10 @@ uid 340,0 va (VaSet isHidden 1 ) -xt "22592,24741,23992,25941" +xt "22759,23736,24159,24936" st "1" ju 0 -blo "23292,25741" +blo "23459,24736" tm "TransitionPriority" ) padding "100,100" @@ -2387,8 +2388,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1537,960" -viewArea "-7600,-9100,87957,47840" +windowSize "0,24,1541,960" +viewArea "-7600,-9100,88249,47840" cachedDiagramExtent "-650,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" @@ -2763,7 +2764,7 @@ stateOrder [ name "csm" ) ] -lastUid 496,0 +lastUid 612,0 commonDM (CommonDM ldm (LogicalDM emptyRow *62 (LEmptyRow @@ -2862,8 +2863,8 @@ o 4 ) ) uid 162,0 -cat 8 -expr "reset = '0'" +cat 9 +expr "reset = '1'" ) *85 (LeafLogPort port (LogicalPort diff --git a/Cursor/hds/button_position/interface b/Cursor/hds/button_position/interface index adc1c28..0bb4d24 100644 --- a/Cursor/hds/button_position/interface +++ b/Cursor/hds/button_position/interface @@ -73,7 +73,7 @@ decl (Decl n "button" t "unsigned" b "(2 DOWNTO 0)" -o 8 +o 7 suid 51,0 ) ) @@ -84,7 +84,7 @@ port (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 2 +o 1 suid 52,0 ) ) @@ -95,7 +95,7 @@ port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 +o 2 suid 53,0 ) ) @@ -106,7 +106,7 @@ port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 +o 3 suid 54,0 ) ) @@ -117,7 +117,7 @@ port (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 55,0 ) ) @@ -128,7 +128,7 @@ port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 +o 5 suid 56,0 ) ) @@ -140,7 +140,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 +o 6 suid 57,0 ) ) @@ -198,43 +198,43 @@ uid 120,0 ) *26 (MRCItem litem &14 -pos 0 +pos 6 dimension 20 uid 885,0 ) *27 (MRCItem litem &15 -pos 1 +pos 0 dimension 20 uid 887,0 ) *28 (MRCItem litem &16 -pos 2 +pos 1 dimension 20 uid 889,0 ) *29 (MRCItem litem &17 -pos 3 +pos 2 dimension 20 uid 891,0 ) *30 (MRCItem litem &18 -pos 4 +pos 3 dimension 20 uid 893,0 ) *31 (MRCItem litem &19 -pos 5 +pos 4 dimension 20 uid 895,0 ) *32 (MRCItem litem &20 -pos 6 +pos 5 dimension 20 uid 897,0 ) @@ -467,23 +467,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -503,15 +503,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" ) (vvPair variable "date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "day" @@ -523,7 +523,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "11" ) (vvPair variable "entity_name" @@ -547,11 +547,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "graphical_source_group" @@ -559,11 +559,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:26:48" +value "14:08:24" ) (vvPair variable "group" @@ -571,7 +571,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -587,7 +587,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -595,19 +595,19 @@ value "button_position" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" ) (vvPair variable "package_name" @@ -635,7 +635,7 @@ value "interface" ) (vvPair variable "time" -value "15:26:48" +value "14:08:24" ) (vvPair variable "unit" @@ -643,7 +643,7 @@ value "button_position" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -655,11 +655,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -713,7 +713,7 @@ decl (Decl n "button" t "unsigned" b "(2 DOWNTO 0)" -o 8 +o 7 suid 51,0 ) ) @@ -758,7 +758,7 @@ thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 2 +o 1 suid 52,0 ) ) @@ -803,7 +803,7 @@ thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 +o 2 suid 53,0 ) ) @@ -848,7 +848,7 @@ thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 +o 3 suid 54,0 ) ) @@ -893,7 +893,7 @@ thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 suid 55,0 ) ) @@ -938,7 +938,7 @@ thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 +o 5 suid 56,0 ) ) @@ -984,7 +984,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 +o 6 suid 57,0 ) ) @@ -1698,7 +1698,7 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 897,0 +lastUid 920,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" diff --git a/Cursor/hds/compteur@up@down@rsync@all/interface b/Cursor/hds/compteur@up@down@rsync@all/interface index 41d3dbd..b6aed2a 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/interface +++ b/Cursor/hds/compteur@up@down@rsync@all/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 97,0 +suid 111,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 92,0 +suid 105,0 ) ) -uid 1189,0 +uid 1377,0 ) *15 (LogPort port (LogicalPort @@ -84,60 +84,72 @@ decl (Decl n "en" t "std_ulogic" o 3 -suid 93,0 +suid 106,0 ) ) -uid 1191,0 +uid 1379,0 ) *16 (LogPort port (LogicalPort lang 11 -m 1 decl (Decl -n "position20bit" -t "unsigned" -b "(19 DOWNTO 0)" -o 6 -suid 94,0 +n "neg" +t "std_ulogic" +o 4 +suid 107,0 ) ) -uid 1193,0 +uid 1381,0 ) *17 (LogPort port (LogicalPort lang 11 +m 1 +decl (Decl +n "position24bit" +t "unsigned" +b "(23 DOWNTO 0)" +o 7 +suid 108,0 +) +) +uid 1383,0 +) +*18 (LogPort +port (LogicalPort +lang 11 decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 95,0 +suid 109,0 ) ) -uid 1195,0 -) -*18 (LogPort -port (LogicalPort -lang 11 -decl (Decl -n "reset" -t "std_ulogic" -o 4 -suid 96,0 -) -) -uid 1197,0 +uid 1385,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 110,0 +) +) +uid 1387,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl n "upnotdown" t "std_uLogic" -o 5 -suid 97,0 +o 6 +suid 111,0 ) ) -uid 1199,0 +uid 1389,0 ) ] ) @@ -146,7 +158,7 @@ displayShortBounds 1 editShortBounds 1 uid 108,0 optionalChildren [ -*20 (Sheet +*21 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -163,67 +175,73 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *21 (MRCItem +emptyMRCItem *22 (MRCItem litem &1 pos 3 dimension 20 ) uid 110,0 optionalChildren [ -*22 (MRCItem +*23 (MRCItem litem &2 pos 0 dimension 20 uid 111,0 ) -*23 (MRCItem +*24 (MRCItem litem &3 pos 1 dimension 23 uid 112,0 ) -*24 (MRCItem +*25 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 113,0 ) -*25 (MRCItem +*26 (MRCItem litem &14 pos 0 dimension 20 -uid 1190,0 +uid 1378,0 ) -*26 (MRCItem +*27 (MRCItem litem &15 pos 1 dimension 20 -uid 1192,0 +uid 1380,0 ) -*27 (MRCItem +*28 (MRCItem litem &16 pos 2 dimension 20 -uid 1194,0 +uid 1382,0 ) -*28 (MRCItem +*29 (MRCItem litem &17 pos 3 dimension 20 -uid 1196,0 +uid 1384,0 ) -*29 (MRCItem +*30 (MRCItem litem &18 pos 4 dimension 20 -uid 1198,0 +uid 1386,0 ) -*30 (MRCItem +*31 (MRCItem litem &19 pos 5 dimension 20 -uid 1200,0 +uid 1388,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1390,0 ) ] ) @@ -236,49 +254,49 @@ textAngle 90 ) uid 114,0 optionalChildren [ -*31 (MRCItem +*33 (MRCItem litem &5 pos 0 dimension 20 uid 115,0 ) -*32 (MRCItem +*34 (MRCItem litem &7 pos 1 dimension 50 uid 116,0 ) -*33 (MRCItem +*35 (MRCItem litem &8 pos 2 dimension 100 uid 117,0 ) -*34 (MRCItem +*36 (MRCItem litem &9 pos 3 dimension 50 uid 118,0 ) -*35 (MRCItem +*37 (MRCItem litem &10 pos 4 dimension 100 uid 119,0 ) -*36 (MRCItem +*38 (MRCItem litem &11 pos 5 dimension 100 uid 120,0 ) -*37 (MRCItem +*39 (MRCItem litem &12 pos 6 dimension 50 uid 121,0 ) -*38 (MRCItem +*40 (MRCItem litem &13 pos 7 dimension 80 @@ -299,38 +317,38 @@ uid 94,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *39 (LEmptyRow +emptyRow *41 (LEmptyRow ) uid 124,0 optionalChildren [ -*40 (RefLabelRowHdr +*42 (RefLabelRowHdr ) -*41 (TitleRowHdr +*43 (TitleRowHdr ) -*42 (FilterRowHdr +*44 (FilterRowHdr ) -*43 (RefLabelColHdr +*45 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*44 (RowExpandColHdr +*46 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*45 (GroupColHdr +*47 (GroupColHdr tm "GroupColHdrMgr" ) -*46 (NameColHdr +*48 (NameColHdr tm "GenericNameColHdrMgr" ) -*47 (TypeColHdr +*49 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*48 (InitColHdr +*50 (InitColHdr tm "GenericValueColHdrMgr" ) -*49 (PragmaColHdr +*51 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*50 (EolColHdr +*52 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -340,7 +358,7 @@ displayShortBounds 1 editShortBounds 1 uid 136,0 optionalChildren [ -*51 (Sheet +*53 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -357,27 +375,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *52 (MRCItem -litem &39 +emptyMRCItem *54 (MRCItem +litem &41 pos 3 dimension 20 ) uid 138,0 optionalChildren [ -*53 (MRCItem -litem &40 +*55 (MRCItem +litem &42 pos 0 dimension 20 uid 139,0 ) -*54 (MRCItem -litem &41 +*56 (MRCItem +litem &43 pos 1 dimension 23 uid 140,0 ) -*55 (MRCItem -litem &42 +*57 (MRCItem +litem &44 pos 2 hidden 1 dimension 20 @@ -394,44 +412,44 @@ textAngle 90 ) uid 142,0 optionalChildren [ -*56 (MRCItem -litem &43 +*58 (MRCItem +litem &45 pos 0 dimension 20 uid 143,0 ) -*57 (MRCItem -litem &45 +*59 (MRCItem +litem &47 pos 1 dimension 50 uid 144,0 ) -*58 (MRCItem -litem &46 +*60 (MRCItem +litem &48 pos 2 dimension 100 uid 145,0 ) -*59 (MRCItem -litem &47 +*61 (MRCItem +litem &49 pos 3 dimension 100 uid 146,0 ) -*60 (MRCItem -litem &48 +*62 (MRCItem +litem &50 pos 4 dimension 50 uid 147,0 ) -*61 (MRCItem -litem &49 +*63 (MRCItem +litem &51 pos 5 dimension 50 uid 148,0 ) -*62 (MRCItem -litem &50 +*64 (MRCItem +litem &52 pos 6 dimension 80 uid 149,0 @@ -454,23 +472,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -490,27 +508,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" ) (vvPair variable "date" -value "21.12.2021" +value "19.01.2022" ) (vvPair variable "day" -value "mar." +value "mer." ) (vvPair variable "day_long" -value "mardi" +value "mercredi" ) (vvPair variable "dd" -value "21" +value "19" ) (vvPair variable "entity_name" @@ -534,11 +552,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "19.01.2022" ) (vvPair variable "graphical_source_group" @@ -546,11 +564,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "15:01:12" +value "16:12:43" ) (vvPair variable "group" @@ -558,7 +576,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -574,7 +592,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -582,19 +600,19 @@ value "compteurUpDownRsyncAll" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\interface" ) (vvPair variable "package_name" @@ -622,7 +640,7 @@ value "interface" ) (vvPair variable "time" -value "15:01:12" +value "16:12:43" ) (vvPair variable "unit" @@ -630,7 +648,7 @@ value "compteurUpDownRsyncAll" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -642,25 +660,25 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 93,0 optionalChildren [ -*63 (SymbolBody +*65 (SymbolBody uid 8,0 optionalChildren [ -*64 (CptPort -uid 1159,0 +*66 (CptPort +uid 1342,0 ps "OnEdgeStrategy" shape (Triangle -uid 1160,0 +uid 1343,0 ro 90 va (VaSet vasetType 1 @@ -669,11 +687,11 @@ fg "0,65535,0" xt "14250,23625,15000,24375" ) tg (CPTG -uid 1161,0 +uid 1344,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1162,0 +uid 1345,0 va (VaSet font "Verdana,12,0" ) @@ -684,7 +702,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1163,0 +uid 1346,0 va (VaSet font "Courier New,8,0" ) @@ -698,15 +716,15 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 92,0 +suid 105,0 ) ) ) -*65 (CptPort -uid 1164,0 +*67 (CptPort +uid 1347,0 ps "OnEdgeStrategy" shape (Triangle -uid 1165,0 +uid 1348,0 ro 90 va (VaSet vasetType 1 @@ -715,11 +733,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1166,0 +uid 1349,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1167,0 +uid 1350,0 va (VaSet font "Verdana,12,0" ) @@ -730,7 +748,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1168,0 +uid 1351,0 va (VaSet font "Courier New,8,0" ) @@ -744,64 +762,111 @@ decl (Decl n "en" t "std_ulogic" o 3 -suid 93,0 +suid 106,0 ) ) ) -*66 (CptPort -uid 1169,0 +*68 (CptPort +uid 1352,0 ps "OnEdgeStrategy" shape (Triangle -uid 1170,0 +uid 1353,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,12625,33750,13375" +) +tg (CPTG +uid 1354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1355,0 +va (VaSet +font "Verdana,12,0" +) +xt "28800,12300,32000,13700" +st "neg" +ju 2 +blo "32000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1356,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,63500,5600" +st "neg : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "neg" +t "std_ulogic" +o 4 +suid 107,0 +) +) +) +*69 (CptPort +uid 1357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1358,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33000,10625,33750,11375" +xt "33000,9625,33750,10375" ) tg (CPTG -uid 1171,0 +uid 1359,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1172,0 +uid 1360,0 va (VaSet font "Verdana,12,0" ) -xt "22200,10300,32000,11700" -st "position20bit" +xt "22200,9300,32000,10700" +st "position24bit" ju 2 -blo "32000,11500" +blo "32000,10500" tm "CptPortNameMgr" ) ) dt (MLText -uid 1173,0 +uid 1361,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,68500,7200" -st "position20bit : OUT unsigned (19 DOWNTO 0) +xt "44000,7200,68500,8000" +st "position24bit : OUT unsigned (23 DOWNTO 0) " ) thePort (LogicalPort lang 11 m 1 decl (Decl -n "position20bit" +n "position24bit" t "unsigned" -b "(19 DOWNTO 0)" -o 6 -suid 94,0 +b "(23 DOWNTO 0)" +o 7 +suid 108,0 ) ) ) -*67 (CptPort -uid 1174,0 +*70 (CptPort +uid 1362,0 ps "OnEdgeStrategy" shape (Triangle -uid 1175,0 +uid 1363,0 ro 90 va (VaSet vasetType 1 @@ -810,11 +875,11 @@ fg "0,65535,0" xt "14250,15625,15000,16375" ) tg (CPTG -uid 1176,0 +uid 1364,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1177,0 +uid 1365,0 va (VaSet font "Verdana,12,0" ) @@ -825,7 +890,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1178,0 +uid 1366,0 va (VaSet font "Courier New,8,0" ) @@ -839,15 +904,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 95,0 +suid 109,0 ) ) ) -*68 (CptPort -uid 1179,0 +*71 (CptPort +uid 1367,0 ps "OnEdgeStrategy" shape (Triangle -uid 1180,0 +uid 1368,0 ro 90 va (VaSet vasetType 1 @@ -856,11 +921,11 @@ fg "0,65535,0" xt "14250,21625,15000,22375" ) tg (CPTG -uid 1181,0 +uid 1369,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1182,0 +uid 1370,0 va (VaSet font "Verdana,12,0" ) @@ -871,11 +936,11 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1183,0 +uid 1371,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,63500,5600" +xt "44000,5600,63500,6400" st "reset : IN std_ulogic ; " ) @@ -884,16 +949,16 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 4 -suid 96,0 +o 5 +suid 110,0 ) ) ) -*69 (CptPort -uid 1184,0 +*72 (CptPort +uid 1372,0 ps "OnEdgeStrategy" shape (Triangle -uid 1185,0 +uid 1373,0 ro 90 va (VaSet vasetType 1 @@ -902,11 +967,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 1186,0 +uid 1374,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1187,0 +uid 1375,0 va (VaSet font "Verdana,12,0" ) @@ -917,11 +982,11 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1188,0 +uid 1376,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,63500,6400" +xt "44000,6400,63500,7200" st "upnotdown : IN std_uLogic ; " ) @@ -930,8 +995,8 @@ lang 11 decl (Decl n "upnotdown" t "std_uLogic" -o 5 -suid 97,0 +o 6 +suid 111,0 ) ) ) @@ -969,7 +1034,7 @@ st "compteurUpDownRsyncAll" blo "16550,19500" ) ) -gi *70 (GenericInterface +gi *73 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -998,10 +1063,10 @@ sTC 0 sF 0 ) ) -*71 (Grouping +*74 (Grouping uid 16,0 optionalChildren [ -*72 (CommentText +*75 (CommentText uid 18,0 shape (Rectangle uid 19,0 @@ -1020,7 +1085,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,49600,49000" st " by %user on %dd %month %year " @@ -1033,7 +1098,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*73 (CommentText +*76 (CommentText uid 21,0 shape (Rectangle uid 22,0 @@ -1065,7 +1130,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*74 (CommentText +*77 (CommentText uid 24,0 shape (Rectangle uid 25,0 @@ -1097,7 +1162,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*75 (CommentText +*78 (CommentText uid 27,0 shape (Rectangle uid 28,0 @@ -1129,7 +1194,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*76 (CommentText +*79 (CommentText uid 30,0 shape (Rectangle uid 31,0 @@ -1160,7 +1225,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*77 (CommentText +*80 (CommentText uid 33,0 shape (Rectangle uid 34,0 @@ -1192,7 +1257,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*78 (CommentText +*81 (CommentText uid 36,0 shape (Rectangle uid 37,0 @@ -1223,7 +1288,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*79 (CommentText +*82 (CommentText uid 39,0 shape (Rectangle uid 40,0 @@ -1255,7 +1320,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*80 (CommentText +*83 (CommentText uid 42,0 shape (Rectangle uid 43,0 @@ -1287,7 +1352,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*81 (CommentText +*84 (CommentText uid 45,0 shape (Rectangle uid 46,0 @@ -1344,11 +1409,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *82 (PackageList +packageList *85 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ -*83 (Text +*86 (Text uid 49,0 va (VaSet font "Verdana,9,1" @@ -1357,7 +1422,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*84 (MLText +*87 (MLText uid 50,0 va (VaSet ) @@ -1485,7 +1550,7 @@ st "" blo "26800,17000" ) ) -gi *85 (GenericInterface +gi *88 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1586,7 +1651,7 @@ o 0 ) ) ) -DeclarativeBlock *86 (SymDeclBlock +DeclarativeBlock *89 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1612,9 +1677,9 @@ uid 4,0 va (VaSet font "Verdana,9,1" ) -xt "42000,7200,45200,8400" +xt "42000,8000,45200,9200" st "User:" -blo "42000,8200" +blo "42000,9000" ) internalLabel (Text uid 6,0 @@ -1631,7 +1696,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8400,44000,8400" +xt "44000,9200,44000,9200" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1644,6 +1709,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1223,0 +lastUid 1413,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/compteur@up@down@rsync@all/struct.bd b/Cursor/hds/compteur@up@down@rsync@all/struct.bd index 36d5067..df84190 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/struct.bd +++ b/Cursor/hds/compteur@up@down@rsync@all/struct.bd @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] instances [ (Instance @@ -67,6 +63,15 @@ mwi 0 uid 1049,0 ) (Instance +name "U_7" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 2054,0 +) +(Instance name "U_0" duLibraryName "gates" duName "bufferUlogic" @@ -78,7 +83,49 @@ value "gateDelay" ) ] mwi 0 -uid 1131,0 +uid 2625,0 +) +(Instance +name "U_1" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 2984,0 +) +(Instance +name "U_8" +duLibraryName "gates" +duName "or2inv1" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 3007,0 +) +(Instance +name "U_9" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 3032,0 ) ] libraryRefs [ @@ -94,23 +141,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -130,27 +177,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" ) (vvPair variable "date" -value "21.12.2021" +value "19.01.2022" ) (vvPair variable "day" -value "mar." +value "mer." ) (vvPair variable "day_long" -value "mardi" +value "mercredi" ) (vvPair variable "dd" -value "21" +value "19" ) (vvPair variable "entity_name" @@ -174,11 +221,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "19.01.2022" ) (vvPair variable "graphical_source_group" @@ -186,11 +233,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "15:01:12" +value "16:46:21" ) (vvPair variable "group" @@ -198,7 +245,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -214,7 +261,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -222,19 +269,19 @@ value "compteurUpDownRsyncAll" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\struct.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\struct.bd" ) (vvPair variable "package_name" @@ -262,7 +309,7 @@ value "struct" ) (vvPair variable "time" -value "15:01:12" +value "16:46:21" ) (vvPair variable "unit" @@ -270,7 +317,7 @@ value "compteurUpDownRsyncAll" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -282,11 +329,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -306,16 +353,16 @@ optionalChildren [ uid 11,0 sl 0 ro 270 -xt "-2000,32625,-500,33375" +xt "-2000,30625,-500,31375" ) (Line uid 12,0 sl 0 ro 270 -xt "-500,33000,0,33000" +xt "-500,31000,0,31000" pts [ -"-500,33000" -"0,33000" +"-500,31000" +"0,31000" ] ) ] @@ -330,10 +377,10 @@ f (Text uid 14,0 va (VaSet ) -xt "-6400,32400,-3000,33600" +xt "-6400,30400,-3000,31600" st "clock" ju 2 -blo "-3000,33400" +blo "-3000,31400" tm "WireNameMgr" ) ) @@ -352,9 +399,8 @@ uid 22,0 va (VaSet font "Courier New,8,0" ) -xt "22000,3200,36500,4000" -st "clock : std_ulogic -" +xt "22000,4000,36500,4800" +st "clock : std_ulogic" ) ) *3 (PortIoIn @@ -416,9 +462,8 @@ uid 50,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4000,36500,4800" -st "en : std_ulogic -" +xt "22000,4800,36500,5600" +st "en : std_ulogic" ) ) *5 (PortIoIn @@ -434,16 +479,16 @@ optionalChildren [ uid 53,0 sl 0 ro 270 -xt "-2000,15625,-500,16375" +xt "-2000,14625,-500,15375" ) (Line uid 54,0 sl 0 ro 270 -xt "-500,16000,0,16000" +xt "-500,15000,0,15000" pts [ -"-500,16000" -"0,16000" +"-500,15000" +"0,15000" ] ) ] @@ -458,10 +503,10 @@ f (Text uid 56,0 va (VaSet ) -xt "-5700,15400,-3000,16600" +xt "-5700,14400,-3000,15600" st "RaZ" ju 2 -blo "-3000,16400" +blo "-3000,15400" tm "WireNameMgr" ) ) @@ -480,9 +525,8 @@ uid 64,0 va (VaSet font "Courier New,8,0" ) -xt "22000,2400,36500,3200" -st "RaZ : std_ulogic -" +xt "22000,3200,36500,4000" +st "RaZ : std_ulogic" ) ) *7 (PortIoIn @@ -498,16 +542,16 @@ optionalChildren [ uid 67,0 sl 0 ro 270 -xt "-2000,30625,-500,31375" +xt "-2000,28625,-500,29375" ) (Line uid 68,0 sl 0 ro 270 -xt "-500,31000,0,31000" +xt "-500,29000,0,29000" pts [ -"-500,31000" -"0,31000" +"-500,29000" +"0,29000" ] ) ] @@ -522,10 +566,10 @@ f (Text uid 70,0 va (VaSet ) -xt "-6300,30400,-3000,31600" +xt "-6300,28400,-3000,29600" st "reset" ju 2 -blo "-3000,31400" +blo "-3000,29400" tm "WireNameMgr" ) ) @@ -536,7 +580,7 @@ lang 11 decl (Decl n "reset" t "std_ulogic" -o 4 +o 5 suid 5,0 ) declText (MLText @@ -544,9 +588,8 @@ uid 78,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4800,36500,5600" -st "reset : std_ulogic -" +xt "22000,6400,36500,7200" +st "reset : std_ulogic" ) ) *9 (PortIoIn @@ -562,16 +605,16 @@ optionalChildren [ uid 81,0 sl 0 ro 270 -xt "-2000,23625,-500,24375" +xt "-2000,19625,-500,20375" ) (Line uid 82,0 sl 0 ro 270 -xt "-500,24000,0,24000" +xt "-500,20000,0,20000" pts [ -"-500,24000" -"0,24000" +"-500,20000" +"0,20000" ] ) ] @@ -586,10 +629,10 @@ f (Text uid 84,0 va (VaSet ) -xt "-9000,23400,-3000,24600" +xt "-9000,19400,-3000,20600" st "upnotdown" ju 2 -blo "-3000,24400" +blo "-3000,20400" tm "WireNameMgr" ) ) @@ -600,7 +643,7 @@ lang 11 decl (Decl n "upnotdown" t "std_uLogic" -o 5 +o 6 suid 6,0 ) declText (MLText @@ -608,9 +651,8 @@ uid 92,0 va (VaSet font "Courier New,8,0" ) -xt "22000,5600,36500,6400" -st "upnotdown : std_uLogic -" +xt "22000,7200,36500,8000" +st "upnotdown : std_uLogic" ) ) *11 (Grouping @@ -705,7 +747,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "26200,48000,35400,49000" +xt "26200,48000,38800,49000" st " by %user on %dd %month %year " @@ -974,7 +1016,7 @@ lang 11 decl (Decl n "up" t "std_ulogic" -o 9 +o 15 suid 13,0 ) declText (MLText @@ -982,18 +1024,16 @@ uid 268,0 va (VaSet font "Courier New,8,0" ) -xt "22000,13200,40000,14000" -st "SIGNAL up : std_ulogic -" +xt "22000,17200,40000,18000" +st "SIGNAL up : std_ulogic" ) ) *23 (Net uid 301,0 -lang 11 decl (Decl -n "RCOi" +n "RCOI" t "std_ulogic" -o 7 +o 8 suid 18,0 ) declText (MLText @@ -1001,9 +1041,8 @@ uid 302,0 va (VaSet font "Courier New,8,0" ) -xt "22000,8400,40000,9200" -st "SIGNAL RCOi : std_ulogic -" +xt "22000,10000,40000,10800" +st "SIGNAL RCOI : std_ulogic" ) ) *24 (SaComponent @@ -1019,7 +1058,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,28625,27000,29375" +xt "44250,50625,45000,51375" ) tg (CPTG uid 747,0 @@ -1030,9 +1069,9 @@ uid 748,0 va (VaSet font "Verdana,12,0" ) -xt "28000,28300,31800,29700" +xt "46000,50300,49800,51700" st "clock" -blo "28000,29500" +blo "46000,51500" ) ) thePort (LogicalPort @@ -1054,7 +1093,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,33000,34375,33750" +xt "51625,55000,52375,55750" ) tg (CPTG uid 751,0 @@ -1066,9 +1105,9 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,29300,34700,32000" +xt "51300,51300,52700,54000" st "eni" -blo "34500,32000" +blo "52500,54000" ) ) thePort (LogicalPort @@ -1091,7 +1130,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "40000,24625,40750,25375" +xt "58000,46625,58750,47375" ) tg (CPTG uid 755,0 @@ -1102,10 +1141,10 @@ uid 756,0 va (VaSet font "Verdana,12,0" ) -xt "36900,24300,39000,25700" +xt "54900,46300,57000,47700" st "Qi" ju 2 -blo "39000,25500" +blo "57000,47500" ) ) thePort (LogicalPort @@ -1130,7 +1169,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,18625,27000,19375" +xt "44250,40625,45000,41375" ) tg (CPTG uid 759,0 @@ -1141,9 +1180,9 @@ uid 760,0 va (VaSet font "Verdana,12,0" ) -xt "28000,18300,31200,19700" +xt "46000,40300,49200,41700" st "RaZ" -blo "28000,19500" +blo "46000,41500" ) ) thePort (LogicalPort @@ -1165,7 +1204,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,15250,34375,16000" +xt "51625,37250,52375,38000" ) tg (CPTG uid 763,0 @@ -1177,10 +1216,10 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,17000,34700,20800" +xt "51300,39000,52700,42800" st "RCOi" ju 2 -blo "34500,17000" +blo "52500,39000" ) ) thePort (LogicalPort @@ -1204,7 +1243,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,29625,27000,30375" +xt "44250,51625,45000,52375" ) tg (CPTG uid 767,0 @@ -1215,9 +1254,9 @@ uid 768,0 va (VaSet font "Verdana,12,0" ) -xt "28000,29300,32100,30700" +xt "46000,51300,50100,52700" st "reset" -blo "28000,30500" +blo "46000,52500" ) ) thePort (LogicalPort @@ -1240,7 +1279,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,16625,27000,17375" +xt "44250,38625,45000,39375" ) tg (CPTG uid 771,0 @@ -1251,9 +1290,9 @@ uid 772,0 va (VaSet font "Verdana,12,0" ) -xt "28000,16300,30400,17700" +xt "46000,38300,48400,39700" st "up" -blo "28000,17500" +blo "46000,39500" ) ) thePort (LogicalPort @@ -1275,7 +1314,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "27000,16000,40000,33000" +xt "45000,38000,58000,55000" ) oxt "15000,6000,23000,16000" ttg (MlTextGroup @@ -1288,9 +1327,9 @@ uid 776,0 va (VaSet font "Verdana,9,1" ) -xt "29900,22800,33600,24000" +xt "47900,44800,51600,46000" st "Cursor" -blo "29900,23800" +blo "47900,45800" tm "BdLibraryNameMgr" ) *33 (Text @@ -1298,9 +1337,9 @@ uid 777,0 va (VaSet font "Verdana,9,1" ) -xt "29900,24000,34100,25200" +xt "47900,46000,52100,47200" st "cpt4bit" -blo "29900,25000" +blo "47900,47000" tm "CptNameMgr" ) *34 (Text @@ -1308,9 +1347,9 @@ uid 778,0 va (VaSet font "Verdana,9,1" ) -xt "29900,25200,32400,26400" +xt "47900,47200,50400,48400" st "U_2" -blo "29900,26200" +blo "47900,48200" tm "InstanceNameMgr" ) ] @@ -1325,7 +1364,7 @@ uid 781,0 va (VaSet font "Courier New,8,0" ) -xt "1500,17000,1500,17000" +xt "19500,39000,19500,39000" ) header "" ) @@ -1339,7 +1378,7 @@ va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "27250,31250,28750,32750" +xt "45250,53250,46750,54750" iconName "BlockDiagram.png" iconMaskName "BlockDiagram.msk" ftype 1 @@ -1364,7 +1403,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,48625,27000,49375" +xt "44250,70625,45000,71375" ) tg (CPTG uid 833,0 @@ -1375,9 +1414,9 @@ uid 834,0 va (VaSet font "Verdana,12,0" ) -xt "28000,48300,31800,49700" +xt "46000,70300,49800,71700" st "clock" -blo "28000,49500" +blo "46000,71500" ) ) thePort (LogicalPort @@ -1398,7 +1437,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,53000,34375,53750" +xt "51625,75000,52375,75750" ) tg (CPTG uid 837,0 @@ -1410,9 +1449,9 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,49300,34700,52000" +xt "51300,71300,52700,74000" st "eni" -blo "34500,52000" +blo "52500,74000" ) ) thePort (LogicalPort @@ -1434,7 +1473,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "40000,44625,40750,45375" +xt "58000,66625,58750,67375" ) tg (CPTG uid 841,0 @@ -1445,10 +1484,10 @@ uid 842,0 va (VaSet font "Verdana,12,0" ) -xt "36900,44300,39000,45700" +xt "54900,66300,57000,67700" st "Qi" ju 2 -blo "39000,45500" +blo "57000,67500" ) ) thePort (LogicalPort @@ -1472,7 +1511,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,38625,27000,39375" +xt "44250,60625,45000,61375" ) tg (CPTG uid 845,0 @@ -1483,9 +1522,9 @@ uid 846,0 va (VaSet font "Verdana,12,0" ) -xt "28000,38300,31200,39700" +xt "46000,60300,49200,61700" st "RaZ" -blo "28000,39500" +blo "46000,61500" ) ) thePort (LogicalPort @@ -1506,7 +1545,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,35250,34375,36000" +xt "51625,57250,52375,58000" ) tg (CPTG uid 849,0 @@ -1518,10 +1557,10 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,37000,34700,40800" +xt "51300,59000,52700,62800" st "RCOi" ju 2 -blo "34500,37000" +blo "52500,59000" ) ) thePort (LogicalPort @@ -1544,7 +1583,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,49625,27000,50375" +xt "44250,71625,45000,72375" ) tg (CPTG uid 853,0 @@ -1555,9 +1594,9 @@ uid 854,0 va (VaSet font "Verdana,12,0" ) -xt "28000,49300,32100,50700" +xt "46000,71300,50100,72700" st "reset" -blo "28000,50500" +blo "46000,72500" ) ) thePort (LogicalPort @@ -1579,7 +1618,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,36625,27000,37375" +xt "44250,58625,45000,59375" ) tg (CPTG uid 857,0 @@ -1590,9 +1629,9 @@ uid 858,0 va (VaSet font "Verdana,12,0" ) -xt "28000,36300,30400,37700" +xt "46000,58300,48400,59700" st "up" -blo "28000,37500" +blo "46000,59500" ) ) thePort (LogicalPort @@ -1613,7 +1652,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "27000,36000,40000,53000" +xt "45000,58000,58000,75000" ) oxt "15000,6000,23000,16000" ttg (MlTextGroup @@ -1626,9 +1665,9 @@ uid 824,0 va (VaSet font "Verdana,9,1" ) -xt "29900,42800,33600,44000" +xt "47900,64800,51600,66000" st "Cursor" -blo "29900,43800" +blo "47900,65800" tm "BdLibraryNameMgr" ) *44 (Text @@ -1636,9 +1675,9 @@ uid 825,0 va (VaSet font "Verdana,9,1" ) -xt "29900,44000,34100,45200" +xt "47900,66000,52100,67200" st "cpt4bit" -blo "29900,45000" +blo "47900,67000" tm "CptNameMgr" ) *45 (Text @@ -1646,9 +1685,9 @@ uid 826,0 va (VaSet font "Verdana,9,1" ) -xt "29900,45200,32400,46400" +xt "47900,67200,50400,68400" st "U_3" -blo "29900,46200" +blo "47900,68200" tm "InstanceNameMgr" ) ] @@ -1663,7 +1702,7 @@ uid 829,0 va (VaSet font "Courier New,8,0" ) -xt "1500,37000,1500,37000" +xt "19500,59000,19500,59000" ) header "" ) @@ -1677,7 +1716,7 @@ va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "27250,51250,28750,52750" +xt "45250,73250,46750,74750" iconName "BlockDiagram.png" iconMaskName "BlockDiagram.msk" ftype 1 @@ -1702,7 +1741,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,68625,27000,69375" +xt "44250,90625,45000,91375" ) tg (CPTG uid 913,0 @@ -1713,9 +1752,9 @@ uid 914,0 va (VaSet font "Verdana,12,0" ) -xt "28000,68300,31800,69700" +xt "46000,90300,49800,91700" st "clock" -blo "28000,69500" +blo "46000,91500" ) ) thePort (LogicalPort @@ -1736,7 +1775,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,73000,34375,73750" +xt "51625,95000,52375,95750" ) tg (CPTG uid 917,0 @@ -1748,9 +1787,9 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,69300,34700,72000" +xt "51300,91300,52700,94000" st "eni" -blo "34500,72000" +blo "52500,94000" ) ) thePort (LogicalPort @@ -1772,7 +1811,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "40000,64625,40750,65375" +xt "58000,86625,58750,87375" ) tg (CPTG uid 921,0 @@ -1783,10 +1822,10 @@ uid 922,0 va (VaSet font "Verdana,12,0" ) -xt "36900,64300,39000,65700" +xt "54900,86300,57000,87700" st "Qi" ju 2 -blo "39000,65500" +blo "57000,87500" ) ) thePort (LogicalPort @@ -1810,7 +1849,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,58625,27000,59375" +xt "44250,80625,45000,81375" ) tg (CPTG uid 925,0 @@ -1821,9 +1860,9 @@ uid 926,0 va (VaSet font "Verdana,12,0" ) -xt "28000,58300,31200,59700" +xt "46000,80300,49200,81700" st "RaZ" -blo "28000,59500" +blo "46000,81500" ) ) thePort (LogicalPort @@ -1844,7 +1883,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,55250,34375,56000" +xt "51625,77250,52375,78000" ) tg (CPTG uid 929,0 @@ -1856,10 +1895,10 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,57000,34700,60800" +xt "51300,79000,52700,82800" st "RCOi" ju 2 -blo "34500,57000" +blo "52500,79000" ) ) thePort (LogicalPort @@ -1882,7 +1921,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,69625,27000,70375" +xt "44250,91625,45000,92375" ) tg (CPTG uid 933,0 @@ -1893,9 +1932,9 @@ uid 934,0 va (VaSet font "Verdana,12,0" ) -xt "28000,69300,32100,70700" +xt "46000,91300,50100,92700" st "reset" -blo "28000,70500" +blo "46000,92500" ) ) thePort (LogicalPort @@ -1917,7 +1956,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,56625,27000,57375" +xt "44250,78625,45000,79375" ) tg (CPTG uid 937,0 @@ -1928,9 +1967,9 @@ uid 938,0 va (VaSet font "Verdana,12,0" ) -xt "28000,56300,30400,57700" +xt "46000,78300,48400,79700" st "up" -blo "28000,57500" +blo "46000,79500" ) ) thePort (LogicalPort @@ -1951,7 +1990,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "27000,56000,40000,73000" +xt "45000,78000,58000,95000" ) oxt "15000,6000,23000,16000" ttg (MlTextGroup @@ -1964,9 +2003,9 @@ uid 904,0 va (VaSet font "Verdana,9,1" ) -xt "29900,62800,33600,64000" +xt "47900,84800,51600,86000" st "Cursor" -blo "29900,63800" +blo "47900,85800" tm "BdLibraryNameMgr" ) *55 (Text @@ -1974,9 +2013,9 @@ uid 905,0 va (VaSet font "Verdana,9,1" ) -xt "29900,64000,34100,65200" +xt "47900,86000,52100,87200" st "cpt4bit" -blo "29900,65000" +blo "47900,87000" tm "CptNameMgr" ) *56 (Text @@ -1984,9 +2023,9 @@ uid 906,0 va (VaSet font "Verdana,9,1" ) -xt "29900,65200,32400,66400" +xt "47900,87200,50400,88400" st "U_4" -blo "29900,66200" +blo "47900,88200" tm "InstanceNameMgr" ) ] @@ -2001,7 +2040,7 @@ uid 909,0 va (VaSet font "Courier New,8,0" ) -xt "1500,57000,1500,57000" +xt "19500,79000,19500,79000" ) header "" ) @@ -2015,7 +2054,7 @@ va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "27250,71250,28750,72750" +xt "45250,93250,46750,94750" iconName "BlockDiagram.png" iconMaskName "BlockDiagram.msk" ftype 1 @@ -2040,7 +2079,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,88625,27000,89375" +xt "44250,110625,45000,111375" ) tg (CPTG uid 987,0 @@ -2051,9 +2090,9 @@ uid 988,0 va (VaSet font "Verdana,12,0" ) -xt "28000,88300,31800,89700" +xt "46000,110300,49800,111700" st "clock" -blo "28000,89500" +blo "46000,111500" ) ) thePort (LogicalPort @@ -2074,7 +2113,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,93000,34375,93750" +xt "51625,115000,52375,115750" ) tg (CPTG uid 991,0 @@ -2086,9 +2125,9 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,89300,34700,92000" +xt "51300,111300,52700,114000" st "eni" -blo "34500,92000" +blo "52500,114000" ) ) thePort (LogicalPort @@ -2110,7 +2149,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "40000,84625,40750,85375" +xt "58000,106625,58750,107375" ) tg (CPTG uid 995,0 @@ -2121,10 +2160,10 @@ uid 996,0 va (VaSet font "Verdana,12,0" ) -xt "36900,84300,39000,85700" +xt "54900,106300,57000,107700" st "Qi" ju 2 -blo "39000,85500" +blo "57000,107500" ) ) thePort (LogicalPort @@ -2148,7 +2187,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,78625,27000,79375" +xt "44250,100625,45000,101375" ) tg (CPTG uid 999,0 @@ -2159,9 +2198,9 @@ uid 1000,0 va (VaSet font "Verdana,12,0" ) -xt "28000,78300,31200,79700" +xt "46000,100300,49200,101700" st "RaZ" -blo "28000,79500" +blo "46000,101500" ) ) thePort (LogicalPort @@ -2182,7 +2221,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,75250,34375,76000" +xt "51625,97250,52375,98000" ) tg (CPTG uid 1003,0 @@ -2194,10 +2233,10 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,77000,34700,80800" +xt "51300,99000,52700,102800" st "RCOi" ju 2 -blo "34500,77000" +blo "52500,99000" ) ) thePort (LogicalPort @@ -2220,7 +2259,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,89625,27000,90375" +xt "44250,111625,45000,112375" ) tg (CPTG uid 1007,0 @@ -2231,9 +2270,9 @@ uid 1008,0 va (VaSet font "Verdana,12,0" ) -xt "28000,89300,32100,90700" +xt "46000,111300,50100,112700" st "reset" -blo "28000,90500" +blo "46000,112500" ) ) thePort (LogicalPort @@ -2255,7 +2294,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,76625,27000,77375" +xt "44250,98625,45000,99375" ) tg (CPTG uid 1011,0 @@ -2266,9 +2305,9 @@ uid 1012,0 va (VaSet font "Verdana,12,0" ) -xt "28000,76300,30400,77700" +xt "46000,98300,48400,99700" st "up" -blo "28000,77500" +blo "46000,99500" ) ) thePort (LogicalPort @@ -2289,7 +2328,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "27000,76000,40000,93000" +xt "45000,98000,58000,115000" ) oxt "15000,6000,23000,16000" ttg (MlTextGroup @@ -2302,9 +2341,9 @@ uid 978,0 va (VaSet font "Verdana,9,1" ) -xt "29900,82800,33600,84000" +xt "47900,104800,51600,106000" st "Cursor" -blo "29900,83800" +blo "47900,105800" tm "BdLibraryNameMgr" ) *66 (Text @@ -2312,9 +2351,9 @@ uid 979,0 va (VaSet font "Verdana,9,1" ) -xt "29900,84000,34100,85200" +xt "47900,106000,52100,107200" st "cpt4bit" -blo "29900,85000" +blo "47900,107000" tm "CptNameMgr" ) *67 (Text @@ -2322,9 +2361,9 @@ uid 980,0 va (VaSet font "Verdana,9,1" ) -xt "29900,85200,32400,86400" +xt "47900,107200,50400,108400" st "U_5" -blo "29900,86200" +blo "47900,108200" tm "InstanceNameMgr" ) ] @@ -2339,7 +2378,7 @@ uid 983,0 va (VaSet font "Courier New,8,0" ) -xt "1500,77000,1500,77000" +xt "19500,99000,19500,99000" ) header "" ) @@ -2353,7 +2392,7 @@ va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "27250,91250,28750,92750" +xt "45250,113250,46750,114750" iconName "BlockDiagram.png" iconMaskName "BlockDiagram.msk" ftype 1 @@ -2378,7 +2417,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,108625,27000,109375" +xt "44250,130625,45000,131375" ) tg (CPTG uid 1061,0 @@ -2389,9 +2428,9 @@ uid 1062,0 va (VaSet font "Verdana,12,0" ) -xt "28000,108300,31800,109700" +xt "46000,130300,49800,131700" st "clock" -blo "28000,109500" +blo "46000,131500" ) ) thePort (LogicalPort @@ -2412,7 +2451,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,113000,34375,113750" +xt "51625,135000,52375,135750" ) tg (CPTG uid 1065,0 @@ -2424,9 +2463,9 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,109300,34700,112000" +xt "51300,131300,52700,134000" st "eni" -blo "34500,112000" +blo "52500,134000" ) ) thePort (LogicalPort @@ -2448,7 +2487,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "40000,104625,40750,105375" +xt "58000,126625,58750,127375" ) tg (CPTG uid 1069,0 @@ -2459,10 +2498,10 @@ uid 1070,0 va (VaSet font "Verdana,12,0" ) -xt "36900,104300,39000,105700" +xt "54900,126300,57000,127700" st "Qi" ju 2 -blo "39000,105500" +blo "57000,127500" ) ) thePort (LogicalPort @@ -2486,7 +2525,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,98625,27000,99375" +xt "44250,120625,45000,121375" ) tg (CPTG uid 1073,0 @@ -2497,9 +2536,9 @@ uid 1074,0 va (VaSet font "Verdana,12,0" ) -xt "28000,98300,31200,99700" +xt "46000,120300,49200,121700" st "RaZ" -blo "28000,99500" +blo "46000,121500" ) ) thePort (LogicalPort @@ -2520,7 +2559,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "33625,95250,34375,96000" +xt "51625,117250,52375,118000" ) tg (CPTG uid 1077,0 @@ -2532,10 +2571,10 @@ ro 270 va (VaSet font "Verdana,12,0" ) -xt "33300,97000,34700,100800" +xt "51300,119000,52700,122800" st "RCOi" ju 2 -blo "34500,97000" +blo "52500,119000" ) ) thePort (LogicalPort @@ -2558,7 +2597,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,109625,27000,110375" +xt "44250,131625,45000,132375" ) tg (CPTG uid 1081,0 @@ -2569,9 +2608,9 @@ uid 1082,0 va (VaSet font "Verdana,12,0" ) -xt "28000,109300,32100,110700" +xt "46000,131300,50100,132700" st "reset" -blo "28000,110500" +blo "46000,132500" ) ) thePort (LogicalPort @@ -2593,7 +2632,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "26250,96625,27000,97375" +xt "44250,118625,45000,119375" ) tg (CPTG uid 1085,0 @@ -2604,9 +2643,9 @@ uid 1086,0 va (VaSet font "Verdana,12,0" ) -xt "28000,96300,30400,97700" +xt "46000,118300,48400,119700" st "up" -blo "28000,97500" +blo "46000,119500" ) ) thePort (LogicalPort @@ -2627,7 +2666,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "27000,96000,40000,113000" +xt "45000,118000,58000,135000" ) oxt "15000,6000,23000,16000" ttg (MlTextGroup @@ -2640,9 +2679,9 @@ uid 1052,0 va (VaSet font "Verdana,9,1" ) -xt "29900,102800,33600,104000" +xt "47900,124800,51600,126000" st "Cursor" -blo "29900,103800" +blo "47900,125800" tm "BdLibraryNameMgr" ) *77 (Text @@ -2650,9 +2689,9 @@ uid 1053,0 va (VaSet font "Verdana,9,1" ) -xt "29900,104000,34100,105200" +xt "47900,126000,52100,127200" st "cpt4bit" -blo "29900,105000" +blo "47900,127000" tm "CptNameMgr" ) *78 (Text @@ -2660,9 +2699,9 @@ uid 1054,0 va (VaSet font "Verdana,9,1" ) -xt "29900,105200,32400,106400" +xt "47900,127200,50400,128400" st "U_6" -blo "29900,106200" +blo "47900,128200" tm "InstanceNameMgr" ) ] @@ -2677,7 +2716,7 @@ uid 1057,0 va (VaSet font "Courier New,8,0" ) -xt "1500,97000,1500,97000" +xt "19500,119000,19500,119000" ) header "" ) @@ -2691,7 +2730,7 @@ va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "27250,111250,28750,112750" +xt "45250,133250,46750,134750" iconName "BlockDiagram.png" iconMaskName "BlockDiagram.msk" ftype 1 @@ -2703,196 +2742,7 @@ sF 0 ) archFileType "UNKNOWN" ) -*79 (SaComponent -uid 1131,0 -optionalChildren [ -*80 (CptPort -uid 1123,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 1124,0 -ro 90 -va (VaSet -vasetType 1 -isHidden 1 -fg "0,65535,0" -) -xt "9250,23625,10000,24375" -) -tg (CPTG -uid 1125,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 1126,0 -va (VaSet -isHidden 1 -) -xt "10000,23700,12300,24900" -st "in1" -blo "10000,24700" -) -s (Text -uid 1141,0 -va (VaSet -isHidden 1 -) -xt "10000,24900,10000,24900" -blo "10000,24900" -) -) -thePort (LogicalPort -decl (Decl -n "in1" -t "std_uLogic" -o 1 -suid 1,0 -) -) -) -*81 (CptPort -uid 1127,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 1128,0 -ro 90 -va (VaSet -vasetType 1 -isHidden 1 -fg "0,65535,0" -) -xt "15000,23625,15750,24375" -) -tg (CPTG -uid 1129,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 1130,0 -va (VaSet -isHidden 1 -) -xt "12000,23700,15000,24900" -st "out1" -ju 2 -blo "15000,24700" -) -s (Text -uid 1142,0 -va (VaSet -isHidden 1 -) -xt "15000,24900,15000,24900" -ju 2 -blo "15000,24900" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "out1" -t "std_uLogic" -o 2 -suid 2,0 -) -) -) -] -shape (Buf -uid 1132,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "10000,21000,15000,27000" -) -showPorts 0 -oxt "32000,15000,37000,21000" -ttg (MlTextGroup -uid 1133,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*82 (Text -uid 1134,0 -va (VaSet -font "Verdana,8,1" -) -xt "10910,26700,14010,27700" -st "gates" -blo "10910,27500" -tm "BdLibraryNameMgr" -) -*83 (Text -uid 1135,0 -va (VaSet -font "Verdana,8,1" -) -xt "10910,27700,17810,28700" -st "bufferUlogic" -blo "10910,28500" -tm "CptNameMgr" -) -*84 (Text -uid 1136,0 -va (VaSet -font "Verdana,8,1" -) -xt "10910,28700,13410,29700" -st "U_0" -blo "10910,29500" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 1137,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 1138,0 -text (MLText -uid 1139,0 -va (VaSet -font "Verdana,8,0" -) -xt "10000,29600,24100,30600" -st "delay = gateDelay ( time ) " -) -header "" -) -elements [ -(GiElement -name "delay" -type "time" -value "gateDelay" -) -] -) -viewicon (ZoomableIcon -uid 1140,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "10250,25250,11750,26750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -disp 1 -sN 0 -sTC 0 -sT 1 -) -archFileType "UNKNOWN" -) -*85 (PortIoOut +*79 (PortIoOut uid 1427,0 shape (CompositeShape uid 1428,0 @@ -2905,16 +2755,16 @@ optionalChildren [ uid 1429,0 sl 0 ro 270 -xt "62500,7625,64000,8375" +xt "84500,6625,86000,7375" ) (Line uid 1430,0 sl 0 ro 270 -xt "62000,8000,62500,8000" +xt "84000,7000,84500,7000" pts [ -"62000,8000" -"62500,8000" +"84000,7000" +"84500,7000" ] ) ] @@ -2929,40 +2779,20 @@ f (Text uid 1432,0 va (VaSet ) -xt "65000,7400,73100,8600" -st "position20bit" -blo "65000,8400" +xt "87000,6400,95100,7600" +st "position24bit" +blo "87000,7400" tm "WireNameMgr" ) ) ) -*86 (Net -uid 1439,0 -lang 11 -decl (Decl -n "position20bit" -t "unsigned" -b "(19 DOWNTO 0)" -o 6 -suid 25,0 -) -declText (MLText -uid 1440,0 -va (VaSet -font "Courier New,8,0" -) -xt "22000,6400,42000,7200" -st "position20bit : unsigned(19 DOWNTO 0) -" -) -) -*87 (Net +*80 (Net uid 1759,0 lang 11 decl (Decl n "en1" t "std_ulogic" -o 8 +o 9 suid 26,0 ) declText (MLText @@ -2970,18 +2800,17 @@ uid 1760,0 va (VaSet font "Courier New,8,0" ) -xt "22000,10000,40000,10800" -st "SIGNAL en1 : std_ulogic -" +xt "22000,10800,40000,11600" +st "SIGNAL en1 : std_ulogic" ) ) -*88 (Net +*81 (Net uid 1761,0 lang 11 decl (Decl n "en2" t "std_ulogic" -o 8 +o 10 suid 27,0 ) declText (MLText @@ -2989,18 +2818,17 @@ uid 1762,0 va (VaSet font "Courier New,8,0" ) -xt "22000,10800,40000,11600" -st "SIGNAL en2 : std_ulogic -" +xt "22000,11600,40000,12400" +st "SIGNAL en2 : std_ulogic" ) ) -*89 (Net +*82 (Net uid 1763,0 lang 11 decl (Decl n "en3" t "std_ulogic" -o 8 +o 11 suid 28,0 ) declText (MLText @@ -3008,18 +2836,17 @@ uid 1764,0 va (VaSet font "Courier New,8,0" ) -xt "22000,11600,40000,12400" -st "SIGNAL en3 : std_ulogic -" +xt "22000,12400,40000,13200" +st "SIGNAL en3 : std_ulogic" ) ) -*90 (Net +*83 (Net uid 1765,0 lang 11 decl (Decl n "en4" t "std_ulogic" -o 8 +o 12 suid 29,0 ) declText (MLText @@ -3027,41 +2854,1355 @@ uid 1766,0 va (VaSet font "Courier New,8,0" ) -xt "22000,12400,40000,13200" -st "SIGNAL en4 : std_ulogic -" +xt "22000,13200,40000,14000" +st "SIGNAL en4 : std_ulogic" ) ) -*91 (Net -uid 1767,0 +*84 (SaComponent +uid 2054,0 +optionalChildren [ +*85 (CptPort +uid 2064,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2065,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,30625,45000,31375" +) +tg (CPTG +uid 2066,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2067,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,30300,49800,31700" +st "clock" +blo "46000,31500" +) +) +thePort (LogicalPort lang 11 decl (Decl -n "en0" +n "clock" +t "std_ulogic" +o 2 +) +) +) +*86 (CptPort +uid 2068,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2069,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51625,35000,52375,35750" +) +tg (CPTG +uid 2070,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2071,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "51300,31300,52700,34000" +st "eni" +blo "52500,34000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" t "std_ulogic" o 3 -suid 30,0 ) -declText (MLText -uid 1768,0 +) +) +*87 (CptPort +uid 2072,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2073,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,26625,58750,27375" +) +tg (CPTG +uid 2074,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2075,0 +va (VaSet +font "Verdana,12,0" +) +xt "54900,26300,57000,27700" +st "Qi" +ju 2 +blo "57000,27500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "unsigned" +b "(3 DOWNTO 0)" +o 6 +) +) +) +*88 (CptPort +uid 2076,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2077,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,20625,45000,21375" +) +tg (CPTG +uid 2078,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2079,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,20300,49200,21700" +st "RaZ" +blo "46000,21500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*89 (CptPort +uid 2080,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2081,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51625,17250,52375,18000" +) +tg (CPTG +uid 2082,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2083,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "51300,19000,52700,22800" +st "RCOi" +ju 2 +blo "52500,19000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*90 (CptPort +uid 2084,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2085,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,31625,45000,32375" +) +tg (CPTG +uid 2086,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2087,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,31300,50100,32700" +st "reset" +blo "46000,32500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*91 (CptPort +uid 2088,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2089,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,18625,45000,19375" +) +tg (CPTG +uid 2090,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2091,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,18300,48400,19700" +st "up" +blo "46000,19500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 2055,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,18000,58000,35000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 2056,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 2057,0 +va (VaSet +font "Verdana,9,1" +) +xt "47900,24800,51600,26000" +st "Cursor" +blo "47900,25800" +tm "BdLibraryNameMgr" +) +*93 (Text +uid 2058,0 +va (VaSet +font "Verdana,9,1" +) +xt "47900,26000,52100,27200" +st "cpt4bit" +blo "47900,27000" +tm "CptNameMgr" +) +*94 (Text +uid 2059,0 +va (VaSet +font "Verdana,9,1" +) +xt "47900,27200,50400,28400" +st "U_7" +blo "47900,28200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2060,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2061,0 +text (MLText +uid 2062,0 va (VaSet font "Courier New,8,0" ) -xt "22000,9200,40000,10000" -st "SIGNAL en0 : std_ulogic -" +xt "19500,19000,19500,19000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2063,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,33250,46750,34750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*95 (Net +uid 2136,0 +lang 11 +decl (Decl +n "position24bit" +t "unsigned" +b "(23 DOWNTO 0)" +o 7 +suid 31,0 +) +declText (MLText +uid 2137,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,42000,8800" +st "position24bit : unsigned(23 DOWNTO 0)" ) ) -*92 (Wire +*96 (Net +uid 2138,0 +lang 11 +decl (Decl +n "eni5" +t "std_ulogic" +o 14 +suid 32,0 +) +declText (MLText +uid 2139,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14800,40000,15600" +st "SIGNAL eni5 : std_ulogic" +) +) +*97 (Net +uid 2140,0 +lang 11 +decl (Decl +n "eni0" +t "std_ulogic" +o 13 +suid 33,0 +) +declText (MLText +uid 2141,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14000,40000,14800" +st "SIGNAL eni0 : std_ulogic" +) +) +*98 (Net +uid 2274,0 +lang 11 +decl (Decl +n "neg" +t "std_ulogic" +o 4 +suid 37,0 +) +declText (MLText +uid 2275,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,36500,6400" +st "neg : std_ulogic" +) +) +*99 (PortIoIn +uid 2276,0 +shape (CompositeShape +uid 2277,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2278,0 +sl 0 +ro 270 +xt "-8000,35625,-6500,36375" +) +(Line +uid 2279,0 +sl 0 +ro 270 +xt "-6500,36000,-6000,36000" +pts [ +"-6500,36000" +"-6000,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 2280,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2281,0 +va (VaSet +) +xt "-11500,35400,-9000,36600" +st "neg" +ju 2 +blo "-9000,36400" +tm "WireNameMgr" +) +) +) +*100 (SaComponent +uid 2625,0 +optionalChildren [ +*101 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2618,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "5250,19625,6000,20375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +isHidden 1 +) +xt "6000,19700,8300,20900" +st "in1" +blo "6000,20700" +) +s (Text +uid 2635,0 +va (VaSet +isHidden 1 +) +xt "6000,20900,6000,20900" +blo "6000,20900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*102 (CptPort +uid 2621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2622,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "11000,19625,11750,20375" +) +tg (CPTG +uid 2623,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2624,0 +va (VaSet +isHidden 1 +) +xt "8000,19700,11000,20900" +st "out1" +ju 2 +blo "11000,20700" +) +s (Text +uid 2636,0 +va (VaSet +isHidden 1 +) +xt "11000,20900,11000,20900" +ju 2 +blo "11000,20900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 2626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "6000,17000,11000,23000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 2627,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 2628,0 +va (VaSet +font "Verdana,8,1" +) +xt "6910,22700,10010,23700" +st "gates" +blo "6910,23500" +tm "BdLibraryNameMgr" +) +*104 (Text +uid 2629,0 +va (VaSet +font "Verdana,8,1" +) +xt "6910,23700,13810,24700" +st "bufferUlogic" +blo "6910,24500" +tm "CptNameMgr" +) +*105 (Text +uid 2630,0 +va (VaSet +font "Verdana,8,1" +) +xt "6910,24700,9410,25700" +st "U_0" +blo "6910,25500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2631,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2632,0 +text (MLText +uid 2633,0 +va (VaSet +font "Verdana,8,0" +) +xt "6000,25600,20100,26600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 2634,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "6250,21250,7750,22750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*106 (SaComponent +uid 2984,0 +optionalChildren [ +*107 (CptPort +uid 2972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2973,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "17250,41625,18000,42375" +) +tg (CPTG +uid 2974,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2975,0 +va (VaSet +isHidden 1 +) +xt "18000,41600,27500,42800" +st "in1 : std_uLogic" +blo "18000,42600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*108 (CptPort +uid 2976,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2977,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "17250,45625,18000,46375" +) +tg (CPTG +uid 2978,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2979,0 +va (VaSet +isHidden 1 +) +xt "18000,45600,27500,46800" +st "in2 : std_uLogic" +blo "18000,46600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*109 (CptPort +uid 2980,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2981,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "24950,43625,25700,44375" +) +tg (CPTG +uid 2982,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2983,0 +va (VaSet +isHidden 1 +) +xt "14800,43550,25000,44750" +st "out1 : std_uLogic" +ju 2 +blo "25000,44550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 2985,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,41000,25000,47000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 2986,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 2987,0 +va (VaSet +font "Verdana,8,1" +) +xt "18600,46700,21700,47700" +st "gates" +blo "18600,47500" +tm "BdLibraryNameMgr" +) +*111 (Text +uid 2988,0 +va (VaSet +font "Verdana,8,1" +) +xt "18600,47700,21500,48700" +st "and2" +blo "18600,48500" +tm "CptNameMgr" +) +*112 (Text +uid 2989,0 +va (VaSet +font "Verdana,8,1" +) +xt "18600,48700,21100,49700" +st "U_1" +blo "18600,49500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2990,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2991,0 +text (MLText +uid 2992,0 +va (VaSet +font "Verdana,8,0" +) +xt "18000,50600,32100,51600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 2993,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,45250,19750,46750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*113 (SaComponent +uid 3007,0 +optionalChildren [ +*114 (CptPort +uid 2994,0 +optionalChildren [ +*115 (Circle +uid 2998,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "7585,39625,8335,40375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2995,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "6835,39625,7585,40375" +) +tg (CPTG +uid 2996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2997,0 +va (VaSet +isHidden 1 +) +xt "8557,39250,10857,40450" +st "in1" +blo "8557,40250" +) +s (Text +uid 3017,0 +va (VaSet +isHidden 1 +) +xt "8557,40450,8557,40450" +blo "8557,40450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*116 (CptPort +uid 2999,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3000,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "7584,43625,8334,44375" +) +tg (CPTG +uid 3001,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3002,0 +va (VaSet +isHidden 1 +) +xt "8557,43250,10857,44450" +st "in2" +blo "8557,44250" +) +s (Text +uid 3018,0 +va (VaSet +isHidden 1 +) +xt "8557,44450,8557,44450" +blo "8557,44450" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*117 (CptPort +uid 3003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3004,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "15000,41625,15750,42375" +) +tg (CPTG +uid 3005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3006,0 +va (VaSet +isHidden 1 +) +xt "11000,41250,14000,42450" +st "out1" +ju 2 +blo "14000,42250" +) +s (Text +uid 3019,0 +va (VaSet +isHidden 1 +) +xt "14000,42450,14000,42450" +ju 2 +blo "14000,42450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 3008,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,39000,15000,45000" +) +showPorts 0 +oxt "32000,13000,39000,19000" +ttg (MlTextGroup +uid 3009,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +uid 3010,0 +va (VaSet +font "Verdana,8,1" +) +xt "8600,44700,11700,45700" +st "gates" +blo "8600,45500" +tm "BdLibraryNameMgr" +) +*119 (Text +uid 3011,0 +va (VaSet +font "Verdana,8,1" +) +xt "8600,45700,12800,46700" +st "or2inv1" +blo "8600,46500" +tm "CptNameMgr" +) +*120 (Text +uid 3012,0 +va (VaSet +font "Verdana,8,1" +) +xt "8600,46700,11100,47700" +st "U_8" +blo "8600,47500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3013,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3014,0 +text (MLText +uid 3015,0 +va (VaSet +font "Verdana,8,0" +) +xt "8000,47600,22100,48600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 3016,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "8250,43250,9750,44750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*121 (SaComponent +uid 3032,0 +optionalChildren [ +*122 (CptPort +uid 3020,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3021,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-1750,41625,-1000,42375" +) +tg (CPTG +uid 3022,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3023,0 +va (VaSet +isHidden 1 +) +xt "-1000,41600,8500,42800" +st "in1 : std_uLogic" +blo "-1000,42600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*123 (CptPort +uid 3024,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3025,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-1750,45625,-1000,46375" +) +tg (CPTG +uid 3026,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3027,0 +va (VaSet +isHidden 1 +) +xt "-1000,45600,8500,46800" +st "in2 : std_uLogic" +blo "-1000,46600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*124 (CptPort +uid 3028,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3029,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "5950,43625,6700,44375" +) +tg (CPTG +uid 3030,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3031,0 +va (VaSet +isHidden 1 +) +xt "-4200,43550,6000,44750" +st "out1 : std_uLogic" +ju 2 +blo "6000,44550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 3033,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,41000,6000,47000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 3034,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 3035,0 +va (VaSet +font "Verdana,8,1" +) +xt "-400,46700,2700,47700" +st "gates" +blo "-400,47500" +tm "BdLibraryNameMgr" +) +*126 (Text +uid 3036,0 +va (VaSet +font "Verdana,8,1" +) +xt "-400,47700,2500,48700" +st "and2" +blo "-400,48500" +tm "CptNameMgr" +) +*127 (Text +uid 3037,0 +va (VaSet +font "Verdana,8,1" +) +xt "-400,48700,2100,49700" +st "U_9" +blo "-400,49500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3038,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3039,0 +text (MLText +uid 3040,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,50600,13100,51600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 3041,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-750,45250,750,46750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*128 (Net +uid 3042,0 +decl (Decl +n "out1" +t "std_uLogic" +o 16 +suid 38,0 +) +declText (MLText +uid 3043,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,16400,40000,17200" +st "SIGNAL out1 : std_uLogic" +) +) +*129 (Net +uid 3048,0 +decl (Decl +n "in2" +t "std_uLogic" +o 17 +suid 39,0 +) +declText (MLText +uid 3049,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,15600,40000,16400" +st "SIGNAL in2 : std_uLogic" +) +) +*130 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 va (VaSet vasetType 3 ) -xt "0,33000,10000,33000" +xt "0,31000,10000,31000" pts [ -"0,33000" -"10000,33000" +"0,31000" +"10000,31000" ] ) start &1 @@ -3079,15 +4220,15 @@ uid 20,0 va (VaSet isHidden 1 ) -xt "2000,31800,5400,33000" +xt "2000,29800,5400,31000" st "clock" -blo "2000,32800" +blo "2000,30800" tm "WireNameMgr" ) ) on &2 ) -*93 (Wire +*131 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -3123,17 +4264,17 @@ tm "WireNameMgr" ) on &4 ) -*94 (Wire +*132 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 va (VaSet vasetType 3 ) -xt "0,16000,10000,16000" +xt "0,15000,10000,15000" pts [ -"0,16000" -"10000,16000" +"0,15000" +"10000,15000" ] ) start &5 @@ -3151,25 +4292,25 @@ uid 62,0 va (VaSet isHidden 1 ) -xt "2000,14800,4700,16000" +xt "2000,13800,4700,15000" st "RaZ" -blo "2000,15800" +blo "2000,14800" tm "WireNameMgr" ) ) on &6 ) -*95 (Wire +*133 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 va (VaSet vasetType 3 ) -xt "0,31000,10000,31000" +xt "0,29000,10000,29000" pts [ -"0,31000" -"10000,31000" +"0,29000" +"10000,29000" ] ) start &7 @@ -3187,29 +4328,29 @@ uid 76,0 va (VaSet isHidden 1 ) -xt "2000,29800,5300,31000" +xt "2000,27800,5300,29000" st "reset" -blo "2000,30800" +blo "2000,28800" tm "WireNameMgr" ) ) on &8 ) -*96 (Wire +*134 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 va (VaSet vasetType 3 ) -xt "0,24000,10000,24000" +xt "0,20000,6000,20000" pts [ -"0,24000" -"10000,24000" +"0,20000" +"6000,20000" ] ) start &9 -end &80 +end &101 sat 32 eat 32 st 0 @@ -3224,25 +4365,25 @@ uid 90,0 va (VaSet isHidden 1 ) -xt "2000,22800,8000,24000" +xt "4000,18800,10000,20000" st "upnotdown" -blo "2000,23800" +blo "4000,19800" tm "WireNameMgr" ) ) on &10 ) -*97 (Wire +*135 (Wire uid 677,0 shape (OrthoPolyLine uid 678,0 va (VaSet vasetType 3 ) -xt "22000,30000,26250,30000" +xt "40000,52000,44250,52000" pts [ -"22000,30000" -"26250,30000" +"40000,52000" +"44250,52000" ] ) end &30 @@ -3259,25 +4400,25 @@ f (Text uid 684,0 va (VaSet ) -xt "23000,28800,26300,30000" +xt "41000,50800,44300,52000" st "reset" -blo "23000,29800" +blo "41000,51800" tm "WireNameMgr" ) ) on &8 ) -*98 (Wire +*136 (Wire uid 685,0 shape (OrthoPolyLine uid 686,0 va (VaSet vasetType 3 ) -xt "23000,17000,26250,17000" +xt "41000,39000,44250,39000" pts [ -"23000,17000" -"26250,17000" +"41000,39000" +"44250,39000" ] ) end &31 @@ -3294,25 +4435,25 @@ f (Text uid 692,0 va (VaSet ) -xt "24000,15800,25900,17000" +xt "42000,37800,43900,39000" st "up" -blo "24000,16800" +blo "42000,38800" tm "WireNameMgr" ) ) on &22 ) -*99 (Wire +*137 (Wire uid 693,0 shape (OrthoPolyLine uid 694,0 va (VaSet vasetType 3 ) -xt "23000,19000,26250,19000" +xt "41000,41000,44250,41000" pts [ -"23000,19000" -"26250,19000" +"41000,41000" +"44250,41000" ] ) end &28 @@ -3329,25 +4470,25 @@ f (Text uid 700,0 va (VaSet ) -xt "24000,17800,26700,19000" +xt "42000,39800,44700,41000" st "RaZ" -blo "24000,18800" +blo "42000,40800" tm "WireNameMgr" ) ) on &6 ) -*100 (Wire +*138 (Wire uid 701,0 shape (OrthoPolyLine uid 702,0 va (VaSet vasetType 3 ) -xt "22000,29000,26250,29000" +xt "40000,51000,44250,51000" pts [ -"22000,29000" -"26250,29000" +"40000,51000" +"44250,51000" ] ) end &25 @@ -3364,30 +4505,31 @@ f (Text uid 708,0 va (VaSet ) -xt "23000,27800,26400,29000" +xt "41000,49800,44400,51000" st "clock" -blo "23000,28800" +blo "41000,50800" tm "WireNameMgr" ) ) on &2 ) -*101 (Wire +*139 (Wire uid 709,0 shape (OrthoPolyLine uid 710,0 va (VaSet vasetType 3 ) -xt "34000,13000,34000,15250" +xt "52000,35750,52000,37250" pts [ -"34000,15250" -"34000,13000" +"52000,37250" +"52000,35750" ] ) start &29 +end &86 sat 32 -eat 16 +eat 32 st 0 sf 1 si 0 @@ -3400,25 +4542,25 @@ uid 716,0 ro 270 va (VaSet ) -xt "32800,12600,34000,16000" -st "RCOi" -blo "33800,16000" +xt "50800,35100,52000,38000" +st "eni5" +blo "51800,38000" tm "WireNameMgr" ) ) -on &23 +on &96 ) -*102 (Wire +*140 (Wire uid 719,0 shape (OrthoPolyLine uid 720,0 va (VaSet vasetType 3 ) -xt "34000,33750,34000,35250" +xt "52000,55750,52000,57250" pts [ -"34000,35250" -"34000,33750" +"52000,57250" +"52000,55750" ] ) start &40 @@ -3437,25 +4579,25 @@ uid 726,0 ro 270 va (VaSet ) -xt "32800,32400,34000,35000" +xt "50800,54400,52000,57000" st "en4" -blo "33800,35000" +blo "51800,57000" tm "WireNameMgr" ) ) -on &90 +on &83 ) -*103 (Wire +*141 (Wire uid 859,0 shape (OrthoPolyLine uid 860,0 va (VaSet vasetType 3 ) -xt "23000,37000,26250,37000" +xt "41000,59000,44250,59000" pts [ -"23000,37000" -"26250,37000" +"41000,59000" +"44250,59000" ] ) end &42 @@ -3472,25 +4614,25 @@ f (Text uid 864,0 va (VaSet ) -xt "24000,35800,25900,37000" +xt "42000,57800,43900,59000" st "up" -blo "24000,36800" +blo "42000,58800" tm "WireNameMgr" ) ) on &22 ) -*104 (Wire +*142 (Wire uid 865,0 shape (OrthoPolyLine uid 866,0 va (VaSet vasetType 3 ) -xt "22000,50000,26250,50000" +xt "40000,72000,44250,72000" pts [ -"22000,50000" -"26250,50000" +"40000,72000" +"44250,72000" ] ) end &41 @@ -3507,25 +4649,25 @@ f (Text uid 870,0 va (VaSet ) -xt "23000,48800,26300,50000" +xt "41000,70800,44300,72000" st "reset" -blo "23000,49800" +blo "41000,71800" tm "WireNameMgr" ) ) on &8 ) -*105 (Wire +*143 (Wire uid 871,0 shape (OrthoPolyLine uid 872,0 va (VaSet vasetType 3 ) -xt "23000,39000,26250,39000" +xt "41000,61000,44250,61000" pts [ -"23000,39000" -"26250,39000" +"41000,61000" +"44250,61000" ] ) end &39 @@ -3542,25 +4684,25 @@ f (Text uid 876,0 va (VaSet ) -xt "24000,37800,26700,39000" +xt "42000,59800,44700,61000" st "RaZ" -blo "24000,38800" +blo "42000,60800" tm "WireNameMgr" ) ) on &6 ) -*106 (Wire +*144 (Wire uid 877,0 shape (OrthoPolyLine uid 878,0 va (VaSet vasetType 3 ) -xt "22000,49000,26250,49000" +xt "40000,71000,44250,71000" pts [ -"22000,49000" -"26250,49000" +"40000,71000" +"44250,71000" ] ) end &36 @@ -3577,25 +4719,25 @@ f (Text uid 882,0 va (VaSet ) -xt "23000,47800,26400,49000" +xt "41000,69800,44400,71000" st "clock" -blo "23000,48800" +blo "41000,70800" tm "WireNameMgr" ) ) on &2 ) -*107 (Wire +*145 (Wire uid 889,0 shape (OrthoPolyLine uid 890,0 va (VaSet vasetType 3 ) -xt "34000,53750,34000,55250" +xt "52000,75750,52000,77250" pts [ -"34000,55250" -"34000,53750" +"52000,77250" +"52000,75750" ] ) start &51 @@ -3614,25 +4756,25 @@ uid 894,0 ro 270 va (VaSet ) -xt "32800,52400,34000,55000" +xt "50800,74400,52000,77000" st "en3" -blo "33800,55000" +blo "51800,77000" tm "WireNameMgr" ) ) -on &89 +on &82 ) -*108 (Wire +*146 (Wire uid 939,0 shape (OrthoPolyLine uid 940,0 va (VaSet vasetType 3 ) -xt "22000,70000,26250,70000" +xt "40000,92000,44250,92000" pts [ -"22000,70000" -"26250,70000" +"40000,92000" +"44250,92000" ] ) end &52 @@ -3649,25 +4791,25 @@ f (Text uid 944,0 va (VaSet ) -xt "23000,68800,26300,70000" +xt "41000,90800,44300,92000" st "reset" -blo "23000,69800" +blo "41000,91800" tm "WireNameMgr" ) ) on &8 ) -*109 (Wire +*147 (Wire uid 945,0 shape (OrthoPolyLine uid 946,0 va (VaSet vasetType 3 ) -xt "23000,57000,26250,57000" +xt "41000,79000,44250,79000" pts [ -"23000,57000" -"26250,57000" +"41000,79000" +"44250,79000" ] ) end &53 @@ -3684,25 +4826,25 @@ f (Text uid 950,0 va (VaSet ) -xt "24000,55800,25900,57000" +xt "42000,77800,43900,79000" st "up" -blo "24000,56800" +blo "42000,78800" tm "WireNameMgr" ) ) on &22 ) -*110 (Wire +*148 (Wire uid 951,0 shape (OrthoPolyLine uid 952,0 va (VaSet vasetType 3 ) -xt "23000,59000,26250,59000" +xt "41000,81000,44250,81000" pts [ -"23000,59000" -"26250,59000" +"41000,81000" +"44250,81000" ] ) end &50 @@ -3719,25 +4861,25 @@ f (Text uid 956,0 va (VaSet ) -xt "24000,57800,26700,59000" +xt "42000,79800,44700,81000" st "RaZ" -blo "24000,58800" +blo "42000,80800" tm "WireNameMgr" ) ) on &6 ) -*111 (Wire +*149 (Wire uid 957,0 shape (OrthoPolyLine uid 958,0 va (VaSet vasetType 3 ) -xt "22000,69000,26250,69000" +xt "40000,91000,44250,91000" pts [ -"22000,69000" -"26250,69000" +"40000,91000" +"44250,91000" ] ) end &47 @@ -3754,25 +4896,25 @@ f (Text uid 962,0 va (VaSet ) -xt "23000,67800,26400,69000" +xt "41000,89800,44400,91000" st "clock" -blo "23000,68800" +blo "41000,90800" tm "WireNameMgr" ) ) on &2 ) -*112 (Wire +*150 (Wire uid 963,0 shape (OrthoPolyLine uid 964,0 va (VaSet vasetType 3 ) -xt "34000,73750,34000,75250" +xt "52000,95750,52000,97250" pts [ -"34000,75250" -"34000,73750" +"52000,97250" +"52000,95750" ] ) start &62 @@ -3791,25 +4933,25 @@ uid 968,0 ro 270 va (VaSet ) -xt "32800,72400,34000,75000" +xt "50800,94400,52000,97000" st "en2" -blo "33800,75000" +blo "51800,97000" tm "WireNameMgr" ) ) -on &88 +on &81 ) -*113 (Wire +*151 (Wire uid 1013,0 shape (OrthoPolyLine uid 1014,0 va (VaSet vasetType 3 ) -xt "22000,90000,26250,90000" +xt "40000,112000,44250,112000" pts [ -"22000,90000" -"26250,90000" +"40000,112000" +"44250,112000" ] ) end &63 @@ -3826,25 +4968,25 @@ f (Text uid 1018,0 va (VaSet ) -xt "23000,88800,26300,90000" +xt "41000,110800,44300,112000" st "reset" -blo "23000,89800" +blo "41000,111800" tm "WireNameMgr" ) ) on &8 ) -*114 (Wire +*152 (Wire uid 1019,0 shape (OrthoPolyLine uid 1020,0 va (VaSet vasetType 3 ) -xt "23000,77000,26250,77000" +xt "41000,99000,44250,99000" pts [ -"23000,77000" -"26250,77000" +"41000,99000" +"44250,99000" ] ) end &64 @@ -3861,25 +5003,25 @@ f (Text uid 1024,0 va (VaSet ) -xt "24000,75800,25900,77000" +xt "42000,97800,43900,99000" st "up" -blo "24000,76800" +blo "42000,98800" tm "WireNameMgr" ) ) on &22 ) -*115 (Wire +*153 (Wire uid 1025,0 shape (OrthoPolyLine uid 1026,0 va (VaSet vasetType 3 ) -xt "23000,79000,26250,79000" +xt "41000,101000,44250,101000" pts [ -"23000,79000" -"26250,79000" +"41000,101000" +"44250,101000" ] ) end &61 @@ -3896,25 +5038,25 @@ f (Text uid 1030,0 va (VaSet ) -xt "24000,77800,26700,79000" +xt "42000,99800,44700,101000" st "RaZ" -blo "24000,78800" +blo "42000,100800" tm "WireNameMgr" ) ) on &6 ) -*116 (Wire +*154 (Wire uid 1031,0 shape (OrthoPolyLine uid 1032,0 va (VaSet vasetType 3 ) -xt "22000,89000,26250,89000" +xt "40000,111000,44250,111000" pts [ -"22000,89000" -"26250,89000" +"40000,111000" +"44250,111000" ] ) end &58 @@ -3931,25 +5073,25 @@ f (Text uid 1036,0 va (VaSet ) -xt "23000,87800,26400,89000" +xt "41000,109800,44400,111000" st "clock" -blo "23000,88800" +blo "41000,110800" tm "WireNameMgr" ) ) on &2 ) -*117 (Wire +*155 (Wire uid 1037,0 shape (OrthoPolyLine uid 1038,0 va (VaSet vasetType 3 ) -xt "34000,93750,34000,95250" +xt "52000,115750,52000,117250" pts [ -"34000,95250" -"34000,93750" +"52000,117250" +"52000,115750" ] ) start &73 @@ -3968,25 +5110,25 @@ uid 1042,0 ro 270 va (VaSet ) -xt "32800,92400,34000,95000" +xt "50800,114400,52000,117000" st "en1" -blo "33800,95000" +blo "51800,117000" tm "WireNameMgr" ) ) -on &87 +on &80 ) -*118 (Wire +*156 (Wire uid 1087,0 shape (OrthoPolyLine uid 1088,0 va (VaSet vasetType 3 ) -xt "22000,110000,26250,110000" +xt "40000,132000,44250,132000" pts [ -"22000,110000" -"26250,110000" +"40000,132000" +"44250,132000" ] ) end &74 @@ -4003,25 +5145,25 @@ f (Text uid 1092,0 va (VaSet ) -xt "23000,108800,26300,110000" +xt "41000,130800,44300,132000" st "reset" -blo "23000,109800" +blo "41000,131800" tm "WireNameMgr" ) ) on &8 ) -*119 (Wire +*157 (Wire uid 1093,0 shape (OrthoPolyLine uid 1094,0 va (VaSet vasetType 3 ) -xt "23000,97000,26250,97000" +xt "41000,119000,44250,119000" pts [ -"23000,97000" -"26250,97000" +"41000,119000" +"44250,119000" ] ) end &75 @@ -4038,25 +5180,25 @@ f (Text uid 1098,0 va (VaSet ) -xt "24000,95800,25900,97000" +xt "42000,117800,43900,119000" st "up" -blo "24000,96800" +blo "42000,118800" tm "WireNameMgr" ) ) on &22 ) -*120 (Wire +*158 (Wire uid 1099,0 shape (OrthoPolyLine uid 1100,0 va (VaSet vasetType 3 ) -xt "23000,99000,26250,99000" +xt "41000,121000,44250,121000" pts [ -"23000,99000" -"26250,99000" +"41000,121000" +"44250,121000" ] ) end &72 @@ -4073,25 +5215,25 @@ f (Text uid 1104,0 va (VaSet ) -xt "24000,97800,26700,99000" +xt "42000,119800,44700,121000" st "RaZ" -blo "24000,98800" +blo "42000,120800" tm "WireNameMgr" ) ) on &6 ) -*121 (Wire +*159 (Wire uid 1105,0 shape (OrthoPolyLine uid 1106,0 va (VaSet vasetType 3 ) -xt "22000,109000,26250,109000" +xt "40000,131000,44250,131000" pts [ -"22000,109000" -"26250,109000" +"40000,131000" +"44250,131000" ] ) end &69 @@ -4108,25 +5250,25 @@ f (Text uid 1110,0 va (VaSet ) -xt "23000,107800,26400,109000" +xt "41000,129800,44400,131000" st "clock" -blo "23000,108800" +blo "41000,130800" tm "WireNameMgr" ) ) on &2 ) -*122 (Wire +*160 (Wire uid 1111,0 shape (OrthoPolyLine uid 1112,0 va (VaSet vasetType 3 ) -xt "34000,113750,34000,118000" +xt "52000,135750,52000,140000" pts [ -"34000,118000" -"34000,113750" +"52000,140000" +"52000,135750" ] ) end &70 @@ -4144,28 +5286,28 @@ uid 1116,0 ro 270 va (VaSet ) -xt "32800,112400,34000,115000" -st "en0" -blo "33800,115000" +xt "50800,134100,52000,137000" +st "eni0" +blo "51800,137000" tm "WireNameMgr" ) ) -on &91 +on &97 ) -*123 (Wire +*161 (Wire uid 1145,0 shape (OrthoPolyLine uid 1146,0 va (VaSet vasetType 3 ) -xt "15000,24000,18000,24000" +xt "11000,20000,18000,20000" pts [ -"15000,24000" -"18000,24000" +"11000,20000" +"18000,20000" ] ) -start &81 +start &102 sat 32 eat 16 stc 0 @@ -4178,26 +5320,24 @@ stg "VerticalLayoutStrategy" f (Text uid 1150,0 va (VaSet -isHidden 1 ) -xt "17000,22800,18900,24000" +xt "17000,20800,18900,22000" st "up" -blo "17000,23800" +blo "17000,21800" tm "WireNameMgr" ) s (Text uid 1266,0 va (VaSet -isHidden 1 ) -xt "17000,24000,17000,24000" -blo "17000,24000" +xt "17000,22000,17000,22000" +blo "17000,22000" tm "SignalTypeMgr" ) ) on &22 ) -*124 (Wire +*162 (Wire uid 1157,0 shape (OrthoPolyLine uid 1158,0 @@ -4205,28 +5345,28 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "40750,9000,58000,105000" +xt "58750,8000,79000,127000" pts [ -"40750,105000" -"58000,105000" -"58000,9000" +"58750,127000" +"79000,127000" +"79000,8000" ] ) start &71 -end *125 (Ripper +end *163 (Ripper uid 1161,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"57000,8000" -"58000,9000" +"78000,7000" +"79000,8000" ] uid 1162,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "57000,8000,58000,9000" +xt "78000,7000,79000,8000" ) ) sat 32 @@ -4245,15 +5385,15 @@ f (Text uid 1160,0 va (VaSet ) -xt "42750,103800,53450,105000" -st "position20bit(3:0)" -blo "42750,104800" +xt "60750,125800,71450,127000" +st "position24bit(3:0)" +blo "60750,126800" tm "WireNameMgr" ) ) -on &86 +on &95 ) -*126 (Wire +*164 (Wire uid 1163,0 shape (OrthoPolyLine uid 1164,0 @@ -4261,28 +5401,28 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "40750,9000,55000,85000" +xt "58750,8000,76000,107000" pts [ -"40750,85000" -"55000,85000" -"55000,9000" +"58750,107000" +"76000,107000" +"76000,8000" ] ) start &60 -end *127 (Ripper +end *165 (Ripper uid 1167,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"54000,8000" -"55000,9000" +"75000,7000" +"76000,8000" ] uid 1168,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "54000,8000,55000,9000" +xt "75000,7000,76000,8000" ) ) sat 32 @@ -4301,15 +5441,15 @@ f (Text uid 1166,0 va (VaSet ) -xt "42750,83800,53450,85000" -st "position20bit(7:4)" -blo "42750,84800" +xt "60750,105800,71450,107000" +st "position24bit(7:4)" +blo "60750,106800" tm "WireNameMgr" ) ) -on &86 +on &95 ) -*128 (Wire +*166 (Wire uid 1169,0 shape (OrthoPolyLine uid 1170,0 @@ -4317,28 +5457,28 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "40750,9000,52000,65000" +xt "58750,8000,73000,87000" pts [ -"40750,65000" -"52000,65000" -"52000,9000" +"58750,87000" +"73000,87000" +"73000,8000" ] ) start &49 -end *129 (Ripper +end *167 (Ripper uid 1173,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"51000,8000" -"52000,9000" +"72000,7000" +"73000,8000" ] uid 1174,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "51000,8000,52000,9000" +xt "72000,7000,73000,8000" ) ) sat 32 @@ -4357,15 +5497,15 @@ f (Text uid 1172,0 va (VaSet ) -xt "42750,63800,54150,65000" -st "position20bit(11:8)" -blo "42750,64800" +xt "60750,85800,72150,87000" +st "position24bit(11:8)" +blo "60750,86800" tm "WireNameMgr" ) ) -on &86 +on &95 ) -*130 (Wire +*168 (Wire uid 1175,0 shape (OrthoPolyLine uid 1176,0 @@ -4373,28 +5513,28 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "40750,9000,49000,45000" +xt "58750,8000,70000,67000" pts [ -"40750,45000" -"49000,45000" -"49000,9000" +"58750,67000" +"70000,67000" +"70000,8000" ] ) start &38 -end *131 (Ripper +end *169 (Ripper uid 1179,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"48000,8000" -"49000,9000" +"69000,7000" +"70000,8000" ] uid 1180,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "48000,8000,49000,9000" +xt "69000,7000,70000,8000" ) ) sat 32 @@ -4413,15 +5553,15 @@ f (Text uid 1178,0 va (VaSet ) -xt "42750,43800,55550,45000" -st "position20bit(15:12)" -blo "42750,44800" +xt "60750,65800,73550,67000" +st "position24bit(15:12)" +blo "60750,66800" tm "WireNameMgr" ) ) -on &86 +on &95 ) -*132 (Wire +*170 (Wire uid 1181,0 shape (OrthoPolyLine uid 1182,0 @@ -4429,28 +5569,28 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "40750,9000,46000,25000" +xt "58750,8000,67000,47000" pts [ -"40750,25000" -"46000,25000" -"46000,9000" +"58750,47000" +"67000,47000" +"67000,8000" ] ) start &27 -end *133 (Ripper +end *171 (Ripper uid 1185,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"45000,8000" -"46000,9000" +"66000,7000" +"67000,8000" ] uid 1186,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "45000,8000,46000,9000" +xt "66000,7000,67000,8000" ) ) sat 32 @@ -4469,22 +5609,38 @@ f (Text uid 1184,0 va (VaSet ) -xt "42750,23800,55550,25000" -st "position20bit(19:16)" -blo "42750,24800" +xt "60750,45800,73550,47000" +st "position24bit(19:16)" +blo "60750,46800" tm "WireNameMgr" ) ) -on &86 +on &95 ) -*134 (Wire +*172 (Wire uid 1433,0 optionalChildren [ -&125 -&127 -&129 -&131 -&133 +&163 +&165 +&167 +&169 +&171 +*173 (Ripper +uid 2134,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"63000,7000" +"64000,8000" +] +uid 2135,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,7000,64000,8000" +) +) ] shape (OrthoPolyLine uid 1434,0 @@ -4492,13 +5648,13 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "43000,8000,62000,8000" +xt "57000,7000,84000,7000" pts [ -"43000,8000" -"62000,8000" +"57000,7000" +"84000,7000" ] ) -end &85 +end &79 sat 16 eat 32 sty 1 @@ -4515,13 +5671,555 @@ uid 1438,0 va (VaSet isHidden 1 ) -xt "61000,6800,69100,8000" -st "position20bit" -blo "61000,7800" +xt "83000,5800,91100,7000" +st "position24bit" +blo "83000,6800" tm "WireNameMgr" ) ) -on &86 +on &95 +) +*174 (Wire +uid 2092,0 +shape (OrthoPolyLine +uid 2093,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "58750,8000,64000,27000" +pts [ +"58750,27000" +"64000,27000" +"64000,8000" +] +) +start &87 +end &173 +sat 32 +eat 32 +sty 1 +sl "(23 DOWNTO 20)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2097,0 +va (VaSet +) +xt "58000,25800,70800,27000" +st "position24bit(23:20)" +blo "58000,26800" +tm "WireNameMgr" +) +) +on &95 +) +*175 (Wire +uid 2098,0 +shape (OrthoPolyLine +uid 2099,0 +va (VaSet +vasetType 3 +) +xt "41000,21000,44250,21000" +pts [ +"41000,21000" +"44250,21000" +] +) +end &88 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2102,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2103,0 +va (VaSet +) +xt "42000,19800,44700,21000" +st "RaZ" +blo "42000,20800" +tm "WireNameMgr" +) +) +on &6 +) +*176 (Wire +uid 2104,0 +shape (OrthoPolyLine +uid 2105,0 +va (VaSet +vasetType 3 +) +xt "40000,32000,44250,32000" +pts [ +"40000,32000" +"44250,32000" +] +) +end &90 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2108,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2109,0 +va (VaSet +) +xt "41000,30800,44300,32000" +st "reset" +blo "41000,31800" +tm "WireNameMgr" +) +) +on &8 +) +*177 (Wire +uid 2110,0 +shape (OrthoPolyLine +uid 2111,0 +va (VaSet +vasetType 3 +) +xt "41000,19000,44250,19000" +pts [ +"41000,19000" +"44250,19000" +] +) +end &91 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2114,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2115,0 +va (VaSet +) +xt "42000,17800,43900,19000" +st "up" +blo "42000,18800" +tm "WireNameMgr" +) +) +on &22 +) +*178 (Wire +uid 2116,0 +shape (OrthoPolyLine +uid 2117,0 +va (VaSet +vasetType 3 +) +xt "52000,15000,52000,17250" +pts [ +"52000,17250" +"52000,15000" +] +) +start &89 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2121,0 +ro 270 +va (VaSet +) +xt "50800,14400,52000,18000" +st "RCOI" +blo "51800,18000" +tm "WireNameMgr" +) +) +on &23 +) +*179 (Wire +uid 2122,0 +shape (OrthoPolyLine +uid 2123,0 +va (VaSet +vasetType 3 +) +xt "40000,31000,44250,31000" +pts [ +"40000,31000" +"44250,31000" +] +) +end &85 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2126,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2127,0 +va (VaSet +) +xt "41000,29800,44400,31000" +st "clock" +blo "41000,30800" +tm "WireNameMgr" +) +) +on &2 +) +*180 (Wire +uid 2166,0 +shape (OrthoPolyLine +uid 2167,0 +va (VaSet +vasetType 3 +) +xt "14000,46000,18000,46000" +pts [ +"18000,46000" +"14000,46000" +] +) +start &108 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2170,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2171,0 +va (VaSet +) +xt "15000,44800,16900,46000" +st "en" +blo "15000,45800" +tm "WireNameMgr" +) +) +on &4 +) +*181 (Wire +uid 2174,0 +shape (OrthoPolyLine +uid 2175,0 +va (VaSet +vasetType 3 +) +xt "24950,44000,28000,44000" +pts [ +"24950,44000" +"28000,44000" +] +) +start &109 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2178,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2179,0 +va (VaSet +) +xt "26000,42800,28900,44000" +st "eni0" +blo "26000,43800" +tm "WireNameMgr" +) +) +on &97 +) +*182 (Wire +uid 2209,0 +shape (OrthoPolyLine +uid 2210,0 +va (VaSet +vasetType 3 +) +xt "-4000,46000,-1000,46000" +pts [ +"-4000,46000" +"-1000,46000" +] +) +end &123 +sat 16 +eat 32 +stc 0 +sf 1 +tg (WTG +uid 2213,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2214,0 +va (VaSet +) +xt "-3000,44800,-1100,46000" +st "up" +blo "-3000,45800" +tm "WireNameMgr" +) +) +on &22 +) +*183 (Wire +uid 2266,0 +shape (OrthoPolyLine +uid 2267,0 +va (VaSet +vasetType 3 +) +xt "-6000,36000,-4000,36000" +pts [ +"-6000,36000" +"-4000,36000" +] +) +start &99 +es 0 +sat 32 +eat 16 +stc 0 +sf 1 +tg (WTG +uid 2272,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2273,0 +va (VaSet +) +xt "-7000,34800,-4500,36000" +st "neg" +blo "-7000,35800" +tm "WireNameMgr" +) +) +on &98 +) +*184 (Wire +uid 3044,0 +shape (OrthoPolyLine +uid 3045,0 +va (VaSet +vasetType 3 +) +xt "15000,42000,18000,42000" +pts [ +"15000,42000" +"18000,42000" +] +) +start &117 +end &107 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3046,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3047,0 +va (VaSet +isHidden 1 +) +xt "17000,40800,20000,42000" +st "out1" +blo "17000,41800" +tm "WireNameMgr" +) +s (Text +va (VaSet +isHidden 1 +) +xt "17000,42000,17000,42000" +blo "17000,42000" +tm "SignalTypeMgr" +) +) +on &128 +) +*185 (Wire +uid 3050,0 +shape (OrthoPolyLine +uid 3051,0 +va (VaSet +vasetType 3 +) +xt "5950,44000,8334,44000" +pts [ +"8334,44000" +"5950,44000" +] +) +start &116 +end &124 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3052,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3053,0 +va (VaSet +isHidden 1 +) +xt "7334,42800,9634,44000" +st "in2" +blo "7334,43800" +tm "WireNameMgr" +) +s (Text +va (VaSet +isHidden 1 +) +xt "7334,44000,7334,44000" +blo "7334,44000" +tm "SignalTypeMgr" +) +) +on &129 +) +*186 (Wire +uid 3066,0 +optionalChildren [ +*187 (BdJunction +uid 3076,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3077,0 +va (VaSet +vasetType 1 +) +xt "-4400,39600,-3600,40400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 3067,0 +va (VaSet +vasetType 3 +) +xt "-9000,40000,7585,40000" +pts [ +"7585,40000" +"-9000,40000" +] +) +start &114 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3070,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3071,0 +va (VaSet +isHidden 1 +) +xt "6585,38800,9085,40000" +st "neg" +blo "6585,39800" +tm "WireNameMgr" +) +s (Text +va (VaSet +isHidden 1 +) +xt "6585,40000,6585,40000" +blo "6585,40000" +tm "SignalTypeMgr" +) +) +on &98 +) +*188 (Wire +uid 3072,0 +shape (OrthoPolyLine +uid 3073,0 +va (VaSet +vasetType 3 +) +xt "-4000,40000,-1000,42000" +pts [ +"-1000,42000" +"-4000,42000" +"-4000,40000" +] +) +start &122 +end &187 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3074,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3075,0 +va (VaSet +) +xt "-8000,38800,-5500,40000" +st "neg" +blo "-8000,39800" +tm "WireNameMgr" +) +) +on &98 ) ] bg "65535,65535,65535" @@ -4535,11 +6233,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *135 (PackageList +packageList *189 (PackageList uid 137,0 stg "VerticalLayoutStrategy" textVec [ -*136 (Text +*190 (Text uid 138,0 va (VaSet font "Verdana,9,1" @@ -4548,17 +6246,16 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*137 (MLText +*191 (MLText uid 139,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -4567,7 +6264,7 @@ compDirBlock (MlTextGroup uid 140,0 stg "VerticalLayoutStrategy" textVec [ -*138 (Text +*192 (Text uid 141,0 va (VaSet isHidden 1 @@ -4577,7 +6274,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*139 (Text +*193 (Text uid 142,0 va (VaSet isHidden 1 @@ -4587,7 +6284,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*140 (MLText +*194 (MLText uid 143,0 va (VaSet isHidden 1 @@ -4597,7 +6294,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*141 (Text +*195 (Text uid 144,0 va (VaSet isHidden 1 @@ -4607,7 +6304,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*142 (MLText +*196 (MLText uid 145,0 va (VaSet isHidden 1 @@ -4615,7 +6312,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*143 (Text +*197 (Text uid 146,0 va (VaSet isHidden 1 @@ -4625,7 +6322,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*144 (MLText +*198 (MLText uid 147,0 va (VaSet isHidden 1 @@ -4636,12 +6333,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1537,960" -viewArea "7700,83020,87549,132308" -cachedDiagramExtent "-9000,0,73100,118400" +windowSize "0,24,1542,960" +viewArea "-25902,3524,60297,54692" +cachedDiagramExtent "-11500,0,95100,140400" hasePageBreakOrigin 1 pageBreakOrigin "-10000,0" -lastUid 1778,0 +lastUid 3077,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4731,7 +6428,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*145 (Text +*199 (Text va (VaSet font "Verdana,9,1" ) @@ -4740,7 +6437,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*146 (Text +*200 (Text va (VaSet font "Verdana,9,1" ) @@ -4749,7 +6446,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*147 (Text +*201 (Text va (VaSet font "Verdana,9,1" ) @@ -4800,7 +6497,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*148 (Text +*202 (Text va (VaSet font "Verdana,9,1" ) @@ -4808,7 +6505,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*149 (Text +*203 (Text va (VaSet font "Verdana,9,1" ) @@ -4816,7 +6513,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*150 (Text +*204 (Text va (VaSet font "Verdana,9,1" ) @@ -4865,7 +6562,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*151 (Text +*205 (Text va (VaSet font "Verdana,9,1" ) @@ -4874,7 +6571,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*152 (Text +*206 (Text va (VaSet font "Verdana,9,1" ) @@ -4883,7 +6580,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*153 (Text +*207 (Text va (VaSet font "Verdana,9,1" ) @@ -4937,7 +6634,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*154 (Text +*208 (Text va (VaSet font "Verdana,9,1" ) @@ -4945,7 +6642,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*155 (Text +*209 (Text va (VaSet font "Verdana,9,1" ) @@ -4953,7 +6650,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*156 (Text +*210 (Text va (VaSet font "Verdana,9,1" ) @@ -4998,7 +6695,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*157 (Text +*211 (Text va (VaSet font "Verdana,9,1" ) @@ -5006,7 +6703,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*158 (Text +*212 (Text va (VaSet font "Verdana,9,1" ) @@ -5014,7 +6711,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*159 (Text +*213 (Text va (VaSet font "Verdana,9,1" ) @@ -5055,7 +6752,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*160 (Text +*214 (Text va (VaSet font "Verdana,9,1" ) @@ -5064,7 +6761,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*161 (Text +*215 (Text va (VaSet font "Verdana,9,1" ) @@ -5467,7 +7164,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*162 (Text +*216 (Text va (VaSet font "Verdana,9,1" ) @@ -5475,7 +7172,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*163 (MLText +*217 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -5527,7 +7224,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*164 (Text +*218 (Text va (VaSet font "Verdana,9,1" ) @@ -5535,7 +7232,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*165 (MLText +*219 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -5618,18 +7315,18 @@ uid 2,0 va (VaSet font "Verdana,9,1" ) -xt "20000,0,27400,1200" +xt "20000,800,27400,2000" st "Declarations" -blo "20000,1000" +blo "20000,1800" ) portLabel (Text uid 3,0 va (VaSet font "Verdana,9,1" ) -xt "20000,1200,23700,2400" +xt "20000,2000,23700,3200" st "Ports:" -blo "20000,2200" +blo "20000,3000" ) preUserLabel (Text uid 4,0 @@ -5637,9 +7334,9 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "20000,0,25200,1200" +xt "20000,800,25200,2000" st "Pre User:" -blo "20000,1000" +blo "20000,1800" ) preUserText (MLText uid 5,0 @@ -5647,7 +7344,7 @@ va (VaSet isHidden 1 font "Courier New,8,0" ) -xt "20000,0,20000,0" +xt "20000,800,20000,800" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text @@ -5655,9 +7352,9 @@ uid 6,0 va (VaSet font "Verdana,9,1" ) -xt "20000,7200,29500,8400" +xt "20000,8800,29500,10000" st "Diagram Signals:" -blo "20000,8200" +blo "20000,9800" ) postUserLabel (Text uid 7,0 @@ -5665,9 +7362,9 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "20000,0,26400,1200" +xt "20000,800,26400,2000" st "Post User:" -blo "20000,1000" +blo "20000,1800" ) postUserText (MLText uid 8,0 @@ -5675,52 +7372,52 @@ va (VaSet isHidden 1 font "Courier New,8,0" ) -xt "20000,0,20000,0" +xt "20000,800,20000,800" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM -suid 30,0 +suid 40,0 usingSuid 1 -emptyRow *166 (LEmptyRow +emptyRow *220 (LEmptyRow ) uid 150,0 optionalChildren [ -*167 (RefLabelRowHdr +*221 (RefLabelRowHdr ) -*168 (TitleRowHdr +*222 (TitleRowHdr ) -*169 (FilterRowHdr +*223 (FilterRowHdr ) -*170 (RefLabelColHdr +*224 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*171 (RowExpandColHdr +*225 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*172 (GroupColHdr +*226 (GroupColHdr tm "GroupColHdrMgr" ) -*173 (NameColHdr +*227 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*174 (ModeColHdr +*228 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*175 (TypeColHdr +*229 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*176 (BoundsColHdr +*230 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*177 (InitColHdr +*231 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*178 (EolColHdr +*232 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*179 (LeafLogPort +*233 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5732,7 +7429,7 @@ suid 4,0 ) uid 93,0 ) -*180 (LeafLogPort +*234 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5744,7 +7441,7 @@ suid 1,0 ) uid 95,0 ) -*181 (LeafLogPort +*235 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5756,134 +7453,182 @@ suid 3,0 ) uid 97,0 ) -*182 (LeafLogPort +*236 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "reset" t "std_ulogic" -o 4 +o 5 suid 5,0 ) ) uid 99,0 ) -*183 (LeafLogPort +*237 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "upnotdown" t "std_uLogic" -o 5 +o 6 suid 6,0 ) ) uid 101,0 ) -*184 (LeafLogPort +*238 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "up" t "std_ulogic" -o 9 +o 15 suid 13,0 ) ) uid 305,0 ) -*185 (LeafLogPort +*239 (LeafLogPort port (LogicalPort -lang 11 m 4 decl (Decl -n "RCOi" +n "RCOI" t "std_ulogic" -o 7 +o 8 suid 18,0 ) ) uid 309,0 ) -*186 (LeafLogPort -port (LogicalPort -lang 11 -m 1 -decl (Decl -n "position20bit" -t "unsigned" -b "(19 DOWNTO 0)" -o 6 -suid 25,0 -) -) -uid 1426,0 -) -*187 (LeafLogPort +*240 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "en1" t "std_ulogic" -o 8 +o 9 suid 26,0 ) ) uid 1769,0 ) -*188 (LeafLogPort +*241 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "en2" t "std_ulogic" -o 8 +o 10 suid 27,0 ) ) uid 1771,0 ) -*189 (LeafLogPort +*242 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "en3" t "std_ulogic" -o 8 +o 11 suid 28,0 ) ) uid 1773,0 ) -*190 (LeafLogPort +*243 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "en4" t "std_ulogic" -o 8 +o 12 suid 29,0 ) ) uid 1775,0 ) -*191 (LeafLogPort +*244 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "position24bit" +t "unsigned" +b "(23 DOWNTO 0)" +o 7 +suid 31,0 +) +) +uid 2282,0 +) +*245 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl -n "en0" +n "eni5" t "std_ulogic" -o 3 -suid 30,0 +o 14 +suid 32,0 ) ) -uid 1777,0 +uid 2284,0 +) +*246 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "eni0" +t "std_ulogic" +o 13 +suid 33,0 +) +) +uid 2286,0 +) +*247 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "neg" +t "std_ulogic" +o 4 +suid 37,0 +) +) +uid 2288,0 +) +*248 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 16 +suid 38,0 +) +) +uid 3060,0 +) +*249 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "in2" +t "std_uLogic" +o 17 +suid 39,0 +) +) +uid 3062,0 ) ] ) @@ -5892,7 +7637,7 @@ displayShortBounds 1 editShortBounds 1 uid 163,0 optionalChildren [ -*192 (Sheet +*250 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5909,109 +7654,133 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *193 (MRCItem -litem &166 -pos 13 +emptyMRCItem *251 (MRCItem +litem &220 +pos 17 dimension 20 ) uid 165,0 optionalChildren [ -*194 (MRCItem -litem &167 +*252 (MRCItem +litem &221 pos 0 dimension 20 uid 166,0 ) -*195 (MRCItem -litem &168 +*253 (MRCItem +litem &222 pos 1 dimension 23 uid 167,0 ) -*196 (MRCItem -litem &169 +*254 (MRCItem +litem &223 pos 2 hidden 1 dimension 20 uid 168,0 ) -*197 (MRCItem -litem &179 -pos 3 +*255 (MRCItem +litem &233 +pos 4 dimension 20 uid 94,0 ) -*198 (MRCItem -litem &180 +*256 (MRCItem +litem &234 pos 0 dimension 20 uid 96,0 ) -*199 (MRCItem -litem &181 +*257 (MRCItem +litem &235 pos 1 dimension 20 uid 98,0 ) -*200 (MRCItem -litem &182 -pos 4 +*258 (MRCItem +litem &236 +pos 5 dimension 20 uid 100,0 ) -*201 (MRCItem -litem &183 -pos 5 +*259 (MRCItem +litem &237 +pos 6 dimension 20 uid 102,0 ) -*202 (MRCItem -litem &184 -pos 6 +*260 (MRCItem +litem &238 +pos 7 dimension 20 uid 306,0 ) -*203 (MRCItem -litem &185 -pos 7 +*261 (MRCItem +litem &239 +pos 8 dimension 20 uid 310,0 ) -*204 (MRCItem -litem &186 -pos 2 -dimension 20 -uid 1425,0 -) -*205 (MRCItem -litem &187 -pos 8 +*262 (MRCItem +litem &240 +pos 9 dimension 20 uid 1770,0 ) -*206 (MRCItem -litem &188 -pos 9 +*263 (MRCItem +litem &241 +pos 10 dimension 20 uid 1772,0 ) -*207 (MRCItem -litem &189 -pos 10 +*264 (MRCItem +litem &242 +pos 11 dimension 20 uid 1774,0 ) -*208 (MRCItem -litem &190 -pos 11 +*265 (MRCItem +litem &243 +pos 12 dimension 20 uid 1776,0 ) -*209 (MRCItem -litem &191 -pos 12 +*266 (MRCItem +litem &244 +pos 3 dimension 20 -uid 1778,0 +uid 2283,0 +) +*267 (MRCItem +litem &245 +pos 13 +dimension 20 +uid 2285,0 +) +*268 (MRCItem +litem &246 +pos 14 +dimension 20 +uid 2287,0 +) +*269 (MRCItem +litem &247 +pos 2 +dimension 20 +uid 2289,0 +) +*270 (MRCItem +litem &248 +pos 15 +dimension 20 +uid 3061,0 +) +*271 (MRCItem +litem &249 +pos 16 +dimension 20 +uid 3063,0 ) ] ) @@ -6024,50 +7793,50 @@ textAngle 90 ) uid 169,0 optionalChildren [ -*210 (MRCItem -litem &170 +*272 (MRCItem +litem &224 pos 0 dimension 20 uid 170,0 ) -*211 (MRCItem -litem &172 +*273 (MRCItem +litem &226 pos 1 dimension 50 uid 171,0 ) -*212 (MRCItem -litem &173 +*274 (MRCItem +litem &227 pos 2 dimension 100 uid 172,0 ) -*213 (MRCItem -litem &174 +*275 (MRCItem +litem &228 pos 3 dimension 50 uid 173,0 ) -*214 (MRCItem -litem &175 +*276 (MRCItem +litem &229 pos 4 dimension 100 uid 174,0 ) -*215 (MRCItem -litem &176 +*277 (MRCItem +litem &230 pos 5 dimension 100 uid 175,0 ) -*216 (MRCItem -litem &177 +*278 (MRCItem +litem &231 pos 6 dimension 50 uid 176,0 ) -*217 (MRCItem -litem &178 +*279 (MRCItem +litem &232 pos 7 dimension 80 uid 177,0 @@ -6087,38 +7856,38 @@ uid 149,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *218 (LEmptyRow +emptyRow *280 (LEmptyRow ) uid 179,0 optionalChildren [ -*219 (RefLabelRowHdr +*281 (RefLabelRowHdr ) -*220 (TitleRowHdr +*282 (TitleRowHdr ) -*221 (FilterRowHdr +*283 (FilterRowHdr ) -*222 (RefLabelColHdr +*284 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*223 (RowExpandColHdr +*285 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*224 (GroupColHdr +*286 (GroupColHdr tm "GroupColHdrMgr" ) -*225 (NameColHdr +*287 (NameColHdr tm "GenericNameColHdrMgr" ) -*226 (TypeColHdr +*288 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*227 (InitColHdr +*289 (InitColHdr tm "GenericValueColHdrMgr" ) -*228 (PragmaColHdr +*290 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*229 (EolColHdr +*291 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -6128,7 +7897,7 @@ displayShortBounds 1 editShortBounds 1 uid 191,0 optionalChildren [ -*230 (Sheet +*292 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6145,27 +7914,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *231 (MRCItem -litem &218 +emptyMRCItem *293 (MRCItem +litem &280 pos 0 dimension 20 ) uid 193,0 optionalChildren [ -*232 (MRCItem -litem &219 +*294 (MRCItem +litem &281 pos 0 dimension 20 uid 194,0 ) -*233 (MRCItem -litem &220 +*295 (MRCItem +litem &282 pos 1 dimension 23 uid 195,0 ) -*234 (MRCItem -litem &221 +*296 (MRCItem +litem &283 pos 2 hidden 1 dimension 20 @@ -6182,44 +7951,44 @@ textAngle 90 ) uid 197,0 optionalChildren [ -*235 (MRCItem -litem &222 +*297 (MRCItem +litem &284 pos 0 dimension 20 uid 198,0 ) -*236 (MRCItem -litem &224 +*298 (MRCItem +litem &286 pos 1 dimension 50 uid 199,0 ) -*237 (MRCItem -litem &225 +*299 (MRCItem +litem &287 pos 2 dimension 100 uid 200,0 ) -*238 (MRCItem -litem &226 +*300 (MRCItem +litem &288 pos 3 dimension 100 uid 201,0 ) -*239 (MRCItem -litem &227 +*301 (MRCItem +litem &289 pos 4 dimension 50 uid 202,0 ) -*240 (MRCItem -litem &228 +*302 (MRCItem +litem &290 pos 5 dimension 50 uid 203,0 ) -*241 (MRCItem -litem &229 +*303 (MRCItem +litem &291 pos 6 dimension 80 uid 204,0 diff --git a/Cursor/hds/convertissor_position/fsm.sm b/Cursor/hds/convertissor_position/fsm.sm index a9658b8..bc788b2 100644 --- a/Cursor/hds/convertissor_position/fsm.sm +++ b/Cursor/hds/convertissor_position/fsm.sm @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] machine (Machine name "csm" @@ -43,23 +39,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -79,27 +75,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "entity_name" @@ -123,11 +119,11 @@ value "fsm" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -135,11 +131,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "15:10:53" +value "13:43:11" ) (vvPair variable "group" @@ -147,7 +143,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -163,7 +159,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -171,19 +167,19 @@ value "convertissor_position" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" ) (vvPair variable "package_name" @@ -211,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "15:10:53" +value "13:43:11" ) (vvPair variable "unit" @@ -219,7 +215,7 @@ value "convertissor_position" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -231,11 +227,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -247,166 +243,7 @@ topDiagram (StateDiagram LanguageMgr "None" uid 2,0 optionalChildren [ -*2 (State -uid 43,0 -shape (Circle -uid 44,0 -va (VaSet -vasetType 1 -fg "0,65535,65535" -lineColor "0,32768,49152" -lineWidth 2 -) -xt "35654,7841,42756,14943" -radius 3551 -) -name (Text -uid 45,0 -va (VaSet -font "Verdana,12,1" -) -xt "37955,10692,40455,12092" -st "s0" -ju 0 -blo "39205,11892" -tm "ONodeName" -) -wait (TextAssociate -uid 46,0 -ps "CenterOffsetStrategy" -text (Text -uid 47,0 -va (VaSet -isHidden 1 -fg "0,0,32768" -font "Verdana,12,1" -) -xt "38955,11592,44055,12992" -st "wait 2" -blo "38955,12792" -tm "SmWaitText" -) -) -encoding (Text -uid 48,0 -va (VaSet -isHidden 1 -font "Verdana,9,1" -) -xt "39205,12492,39205,12492" -blo "39205,12492" -tm "SmEncodingMgr" -) -actionBlk (SmStateActionsBlock -uid 51,0 -ps "CenterOffsetStrategy" -shape (Rectangle -uid 52,0 -va (VaSet -vasetType 1 -transparent 1 -fg "65535,65535,65535" -bg "0,0,0" -lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 -) -xt "31634,12652,52934,14052" -) -autoResize 1 -tline (Line -uid 53,0 -va (VaSet -vasetType 3 -isHidden 1 -lineColor "39936,56832,65280" -lineWidth -1 -) -xt "31734,12552,52834,12552" -pts [ -"31734,12552" -"52834,12552" -] -) -bline (Line -uid 54,0 -va (VaSet -vasetType 3 -isHidden 1 -lineColor "39936,56832,65280" -lineWidth -1 -) -xt "31734,11952,52834,11952" -pts [ -"31734,11952" -"52834,11952" -] -) -ttri (Triangle -uid 55,0 -ro 90 -va (VaSet -vasetType 1 -isHidden 1 -fg "0,32768,49152" -bg "0,0,0" -lineColor "39936,56832,65280" -lineWidth -1 -) -xt "31284,12177,31634,12527" -) -btri (Triangle -uid 56,0 -ro 270 -va (VaSet -vasetType 1 -isHidden 1 -fg "0,32768,49152" -bg "0,0,0" -lineColor "39936,56832,65280" -lineWidth -1 -) -xt "31284,9777,31634,10127" -) -entryActions (MLText -uid 57,0 -va (VaSet -) -xt "31734,12352,31734,12352" -tm "Actions" -) -inActions (MLText -uid 58,0 -va (VaSet -) -xt "31734,12752,52834,13952" -st "Position <= \"1111111111111111\" ;" -tm "Actions" -) -exitActions (MLText -uid 59,0 -va (VaSet -) -xt "38284,9952,38284,9952" -tm "Actions" -) -) -caseExpr (TextAssociate -uid 49,0 -ps "CenterOffsetStrategy" -text (MLText -uid 50,0 -va (VaSet -isHidden 1 -font "Verdana,9,1" -) -xt "37105,12592,43805,13792" -st "CASE: expr" -tm "SmCaseExpr" -) -) -) -*3 (SmRecoveryStatePoint +*2 (SmRecoveryStatePoint uid 93,0 shape (CompositeShape uid 94,0 @@ -452,7 +289,7 @@ pts [ ] ) ) -*4 (Link +*3 (Link uid 98,0 shape (CompositeShape uid 99,0 @@ -488,17 +325,17 @@ uid 103,0 va (VaSet font "Verdana,9,1" ) -xt "19750,14500,21450,15700" -st "s0" +xt "19750,14500,23750,15700" +st "normal" blo "19750,15500" tm "LinkName" ) ) ) -*5 (Grouping +*4 (Grouping uid 124,0 optionalChildren [ -*6 (CommentText +*5 (CommentText uid 126,0 shape (Rectangle uid 127,0 @@ -517,7 +354,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,45400,47000" +xt "36200,46000,48800,47000" st " by %user on %dd %month %year " @@ -530,7 +367,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*7 (CommentText +*6 (CommentText uid 129,0 shape (Rectangle uid 130,0 @@ -562,7 +399,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*8 (CommentText +*7 (CommentText uid 132,0 shape (Rectangle uid 133,0 @@ -594,7 +431,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*9 (CommentText +*8 (CommentText uid 135,0 shape (Rectangle uid 136,0 @@ -626,7 +463,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*10 (CommentText +*9 (CommentText uid 138,0 shape (Rectangle uid 139,0 @@ -657,7 +494,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*11 (CommentText +*10 (CommentText uid 141,0 shape (Rectangle uid 142,0 @@ -689,7 +526,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*12 (CommentText +*11 (CommentText uid 144,0 shape (Rectangle uid 145,0 @@ -720,7 +557,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*13 (CommentText +*12 (CommentText uid 147,0 shape (Rectangle uid 148,0 @@ -752,7 +589,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*14 (CommentText +*13 (CommentText uid 150,0 shape (Rectangle uid 151,0 @@ -784,7 +621,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*15 (CommentText +*14 (CommentText uid 153,0 shape (Rectangle uid 154,0 @@ -829,28 +666,27 @@ xt "32000,42000,73000,47000" ) oxt "14000,66000,55000,71000" ) -*16 (State +*15 (State uid 164,0 -shape (Circle -uid 165,0 +shape (CircleInOctagon +uid 1041,0 va (VaSet vasetType 1 fg "0,65535,65535" -lineColor "0,32768,49152" +lineColor "26368,26368,26368" lineWidth 2 ) -xt "28219,20083,35321,27185" -radius 3551 +xt "27339,19203,36201,28065" ) name (Text uid 166,0 va (VaSet font "Verdana,12,1" ) -xt "29020,22934,34520,24334" +xt "29020,23834,34520,25234" st "negatif" ju 0 -blo "31770,24134" +blo "31770,25034" tm "ONodeName" ) wait (TextAssociate @@ -859,13 +695,12 @@ ps "CenterOffsetStrategy" text (Text uid 168,0 va (VaSet -isHidden 1 fg "0,0,32768" font "Verdana,12,1" ) -xt "31520,23834,36620,25234" -st "wait 2" -blo "31520,25034" +xt "29220,22034,34320,23434" +st "wait 5" +blo "29220,23234" tm "SmWaitText" ) ) @@ -875,8 +710,8 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "31770,24734,31770,24734" -blo "31770,24734" +xt "31770,25634,31770,25634" +blo "31770,25634" tm "SmEncodingMgr" ) actionBlk (SmStateActionsBlock @@ -886,14 +721,12 @@ shape (Rectangle uid 173,0 va (VaSet vasetType 1 -transparent 1 fg "65535,65535,65535" bg "0,0,0" lineColor "39936,56832,65280" -lineWidth -1 -fillStyle 1 +lineWidth 2 ) -xt "25270,26334,46270,27734" +xt "6720,26014,27720,28614" ) autoResize 1 tline (Line @@ -902,12 +735,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "25370,26234,46170,26234" +xt "6820,26214,27620,26214" pts [ -"25370,26234" -"46170,26234" +"6820,26214" +"27620,26214" ] ) bline (Line @@ -916,12 +749,12 @@ va (VaSet vasetType 3 isHidden 1 lineColor "39936,56832,65280" -lineWidth -1 +lineWidth 2 ) -xt "25370,25634,46170,25634" +xt "6820,26914,27620,26914" pts [ -"25370,25634" -"46170,25634" +"6820,26914" +"27620,26914" ] ) ttri (Triangle @@ -929,13 +762,12 @@ uid 176,0 ro 90 va (VaSet vasetType 1 -isHidden 1 fg "0,32768,49152" bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "24920,25859,25270,26209" +xt "6370,27139,6720,27489" ) btri (Triangle uid 177,0 @@ -948,28 +780,29 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "24920,23459,25270,23809" +xt "6370,23739,6720,24089" ) entryActions (MLText uid 178,0 va (VaSet ) -xt "25370,26034,25370,26034" +xt "6820,26114,27620,28514" +st "Position <= \"0000000000000000\"; +neg <= '1' ;" tm "Actions" ) inActions (MLText uid 179,0 va (VaSet ) -xt "25370,26434,46170,27634" -st "Position <= \"0000000000000000\";" +xt "6820,27514,27620,29914" tm "Actions" ) exitActions (MLText uid 180,0 va (VaSet ) -xt "31770,23634,31770,23634" +xt "13220,23914,13220,23914" tm "Actions" ) ) @@ -987,8 +820,9 @@ st "CASE: expr" tm "SmCaseExpr" ) ) +isWait 1 ) -*17 (State +*16 (State uid 181,0 shape (Circle uid 182,0 @@ -998,8 +832,8 @@ fg "0,65535,65535" lineColor "0,32768,49152" lineWidth 2 ) -xt "43253,20199,50355,27301" -radius 3551 +xt "39604,16550,54004,30950" +radius 7200 ) name (Text uid 183,0 @@ -1052,7 +886,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "34004,34010,58004,35410" +xt "25324,33690,49324,36290" ) autoResize 1 tline (Line @@ -1063,10 +897,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "34104,33910,57904,33910" +xt "25424,33590,49224,33590" pts [ -"34104,33910" -"57904,33910" +"25424,33590" +"49224,33590" ] ) bline (Line @@ -1077,10 +911,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "34104,33310,57904,33310" +xt "25424,33890,49224,33890" pts [ -"34104,33310" -"57904,33310" +"25424,33890" +"49224,33890" ] ) ttri (Triangle @@ -1094,7 +928,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33654,33535,34004,33885" +xt "24974,32015,25324,32365" ) btri (Triangle uid 194,0 @@ -1107,28 +941,29 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "33654,31135,34004,31485" +xt "24974,31415,25324,31765" ) entryActions (MLText uid 195,0 va (VaSet ) -xt "34104,33710,34104,33710" +xt "25424,30990,49224,33390" tm "Actions" ) inActions (MLText uid 196,0 va (VaSet ) -xt "34104,34110,57904,35310" -st "Position <= position20bit(17 DOWNTO 2);" +xt "25424,33790,49224,36190" +st "Position <= position24bit(17 DOWNTO 2); +neg <= '0';" tm "Actions" ) exitActions (MLText uid 197,0 va (VaSet ) -xt "42004,31310,42004,31310" +xt "33324,31590,33324,31590" tm "Actions" ) ) @@ -1147,7 +982,7 @@ tm "SmCaseExpr" ) ) ) -*18 (State +*17 (State uid 330,0 shape (Circle uid 331,0 @@ -1306,7 +1141,7 @@ tm "SmCaseExpr" ) ) ) -*19 (SmClockPoint +*18 (SmClockPoint uid 487,0 shape (CompositeShape uid 488,0 @@ -1390,7 +1225,7 @@ tm "SmControlConditionMgr" ) ) ) -*20 (SmResetPoint +*19 (SmResetPoint uid 497,0 shape (CompositeShape uid 498,0 @@ -1534,7 +1369,7 @@ tm "Actions" ) ) ) -*21 (Link +*20 (Link uid 514,0 shape (CompositeShape uid 515,0 @@ -1570,14 +1405,14 @@ uid 519,0 va (VaSet font "Verdana,9,1" ) -xt "18800,21920,20500,23120" -st "s0" +xt "18800,21920,22800,23120" +st "normal" blo "18800,22920" tm "LinkName" ) ) ) -*22 (Transition +*21 (Transition uid 114,0 shape (Spline uid 115,0 @@ -1590,8 +1425,8 @@ pts [ "16500,15000" ] ) -start &3 -end &4 +start &2 +end &3 ss 0 es 0 tb (TransitionBlock @@ -1664,23 +1499,23 @@ tm "TransitionPriority" padding "100,100" ) ) -*23 (Transition +*22 (Transition uid 198,0 shape (Spline uid 199,0 va (VaSet vasetType 3 ) -xt "34664,21472,44079,21577" +xt "36201,19132,41279,21577" pts [ -"44079,21472" -"34664,21577" +"41279,19132" +"36201,21577" ] arrow 1 ) -start &17 -end &16 -cond "position20bit(19) = '1'" +start &16 +end &15 +cond "position24bit = 0" tb (TransitionBlock uid 200,0 ps "CenterOffsetStrategy" @@ -1692,7 +1527,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "25842,17096,40442,19296" +xt "27101,15716,38201,17916" ) autoResize 1 lineShape (Line @@ -1701,25 +1536,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "28992,19196,28992,19196" +xt "30251,17816,30251,17816" pts [ -"28992,19196" -"28992,19196" +"30251,17816" +"30251,17816" ] ) condition (MLText uid 203,0 va (VaSet ) -xt "26342,17596,39942,18796" -st "position20bit(19) = '1'" +xt "27601,16216,37701,17416" +st "position24bit = 0" tm "Condition" ) actions (MLText uid 204,0 va (VaSet ) -xt "33142,19196,33142,19196" +xt "32651,17816,32651,17816" tm "Actions" ) ) @@ -1733,42 +1568,41 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "42075,20419,44201,22545" +xt "39708,18313,41834,20439" radius 1063 ) pr (Text uid 207,0 va (VaSet ) -xt "42438,20882,43838,22082" +xt "40071,18776,41471,19976" st "1" ju 0 -blo "43138,21882" +blo "40771,19776" tm "TransitionPriority" ) padding "100,100" ) ) -*24 (Transition +*23 (Transition uid 208,0 shape (Spline uid 209,0 va (VaSet vasetType 3 ) -xt "35168,24664,43536,26345" +xt "36201,23668,39604,23695" pts [ -"35168,24664" -"40698,26342" -"43536,25137" +"36201,23668" +"39604,23695" ] arrow 1 ) -start &16 -end &17 +start &15 +end &16 ss 0 es 0 -cond "position20bit(19) = '0'" +cond "position24bit > 4" tb (TransitionBlock uid 210,0 ps "CenterOffsetStrategy" @@ -1780,7 +1614,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "30694,28377,45294,30577" +xt "28809,29777,39909,31977" ) autoResize 1 lineShape (Line @@ -1789,25 +1623,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "33844,30477,33844,30477" +xt "31959,31877,31959,31877" pts [ -"33844,30477" -"33844,30477" +"31959,31877" +"31959,31877" ] ) condition (MLText uid 213,0 va (VaSet ) -xt "31194,28877,44794,30077" -st "position20bit(19) = '0'" +xt "29309,30277,39409,31477" +st "position24bit > 4" tm "Condition" ) actions (MLText uid 214,0 va (VaSet ) -xt "37994,30477,37994,30477" +xt "34359,31877,34359,31877" tm "Actions" ) ) @@ -1822,7 +1656,7 @@ isHidden 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "34949,23892,37075,26018" +xt "35478,22607,37604,24733" radius 1063 ) pr (Text @@ -1830,123 +1664,35 @@ uid 217,0 va (VaSet isHidden 1 ) -xt "35312,24355,36712,25555" +xt "35841,23070,37241,24270" st "1" ju 0 -blo "36012,25355" +blo "36541,24070" tm "TransitionPriority" ) padding "100,100" ) ) -*25 (Transition -uid 218,0 -shape (Spline -uid 219,0 -va (VaSet -vasetType 3 -) -xt "40572,14669,44795,20822" -pts [ -"40572,14669" -"44795,20822" -] -arrow 1 -) -start &2 -end &17 -es 0 -cond "en = '1'" -tb (TransitionBlock -uid 220,0 -ps "CenterOffsetStrategy" -shape (Rectangle -uid 221,0 -va (VaSet -vasetType 1 -fg "65535,65535,65535" -bg "0,0,0" -lineColor "0,32768,49152" -) -xt "42604,14846,48104,17046" -) -autoResize 1 -lineShape (Line -uid 222,0 -va (VaSet -vasetType 3 -isHidden 1 -) -xt "45754,16946,45754,16946" -pts [ -"45754,16946" -"45754,16946" -] -) -condition (MLText -uid 223,0 -va (VaSet -) -xt "43104,15346,47604,16546" -st "en = '1'" -tm "Condition" -) -actions (MLText -uid 224,0 -va (VaSet -) -xt "45354,16946,45354,16946" -tm "Actions" -) -) -tp (TransitionPriority -uid 225,0 -ps "PercentageFromStartStrategy" -shape (Circle -uid 226,0 -va (VaSet -vasetType 1 -isHidden 1 -fg "65535,65535,65535" -bg "0,0,0" -) -xt "39931,14221,42057,16347" -radius 1063 -) -pr (Text -uid 227,0 -va (VaSet -isHidden 1 -) -xt "40294,14684,41694,15884" -st "1" -ju 0 -blo "40994,15684" -tm "TransitionPriority" -) -padding "100,100" -) -) -*26 (Transition +*24 (Transition uid 347,0 shape (Spline uid 348,0 va (VaSet vasetType 3 ) -xt "49650,17980,60378,21627" +xt "53229,19420,60220,21552" pts [ -"49650,21627" -"54540,17980" -"60378,21232" +"53229,20502" +"55330,19440" +"60220,21552" ] arrow 1 ) -start &17 -end &18 +start &16 +end &17 ss 0 es 0 -cond "position20bit >262143" +cond "position24bit > 42862" tb (TransitionBlock uid 349,0 ps "CenterOffsetStrategy" @@ -1958,7 +1704,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "54220,14780,69220,16980" +xt "55010,16240,69610,18440" ) autoResize 1 lineShape (Line @@ -1967,25 +1713,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "57370,16880,57370,16880" +xt "58160,18340,58160,18340" pts [ -"57370,16880" -"57370,16880" +"58160,18340" +"58160,18340" ] ) condition (MLText uid 352,0 va (VaSet ) -xt "54720,15280,68720,16480" -st "position20bit >262143" +xt "55510,16740,69110,17940" +st "position24bit > 42862" tm "Condition" ) actions (MLText uid 353,0 va (VaSet ) -xt "61720,16880,61720,16880" +xt "62310,18340,62310,18340" tm "Actions" ) ) @@ -1999,41 +1745,41 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "49522,19665,51648,21791" +xt "52745,18916,54871,21042" radius 1063 ) pr (Text uid 356,0 va (VaSet ) -xt "49885,20128,51285,21328" +xt "53108,19379,54508,20579" st "2" ju 0 -blo "50585,21128" +blo "53808,20379" tm "TransitionPriority" ) padding "100,100" ) ) -*27 (Transition +*25 (Transition uid 357,0 shape (Spline uid 358,0 va (VaSet vasetType 3 ) -xt "49444,25659,61173,30400" +xt "52156,25659,61173,30472" pts [ "61173,25659" "57120,30400" -"49444,26125" +"52156,28565" ] arrow 1 ) -start &18 -end &17 +start &17 +end &16 ss 0 -cond "position20bit < 262143" +cond "position24bit < 42862" tb (TransitionBlock uid 359,0 ps "CenterOffsetStrategy" @@ -2045,7 +1791,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "51700,31160,67000,33360" +xt "59120,31090,73720,33290" ) autoResize 1 lineShape (Line @@ -2054,25 +1800,25 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "54850,33260,54850,33260" +xt "62270,33190,62270,33190" pts [ -"54850,33260" -"54850,33260" +"62270,33190" +"62270,33190" ] ) condition (MLText uid 362,0 va (VaSet ) -xt "52200,31660,66500,32860" -st "position20bit < 262143" +xt "59620,31590,73220,32790" +st "position24bit < 42862" tm "Condition" ) actions (MLText uid 363,0 va (VaSet ) -xt "59350,33260,59350,33260" +xt "66420,33190,66420,33190" tm "Actions" ) ) @@ -2087,7 +1833,7 @@ isHidden 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "59327,25912,61453,28038" +xt "59434,25553,61560,27679" radius 1063 ) pr (Text @@ -2095,16 +1841,16 @@ uid 366,0 va (VaSet isHidden 1 ) -xt "59690,26375,61090,27575" +xt "59797,26016,61197,27216" st "1" ju 0 -blo "60390,27375" +blo "60497,27016" tm "TransitionPriority" ) padding "100,100" ) ) -*28 (Transition +*26 (Transition uid 520,0 shape (Spline uid 521,0 @@ -2117,8 +1863,8 @@ pts [ "15550,22420" ] ) -start &20 -end &21 +start &19 +end &20 ss 0 es 0 cond "reset = '0'" @@ -2205,11 +1951,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *29 (PackageList +packageList *27 (PackageList uid 31,0 stg "VerticalLayoutStrategy" textVec [ -*30 (Text +*28 (Text uid 32,0 va (VaSet font "Verdana,9,1" @@ -2218,17 +1964,16 @@ xt "287,2539,7887,3739" st "Package List" blo "287,3539" ) -*31 (MLText +*29 (MLText uid 33,0 va (VaSet ) -xt "287,3739,19287,10939" +xt "287,3739,17787,9739" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "SmPackageListTextMgr" ) ] @@ -2237,7 +1982,7 @@ compDirBlock (MlTextGroup uid 34,0 stg "VerticalLayoutStrategy" textVec [ -*32 (Text +*30 (Text uid 35,0 va (VaSet isHidden 1 @@ -2247,7 +1992,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*33 (Text +*31 (Text uid 36,0 va (VaSet isHidden 1 @@ -2257,7 +2002,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*34 (MLText +*32 (MLText uid 37,0 va (VaSet isHidden 1 @@ -2267,7 +2012,7 @@ st "`resetall `timescale 1ns/10ps" tm "SmCompilerDirectivesTextMgr" ) -*35 (Text +*33 (Text uid 38,0 va (VaSet isHidden 1 @@ -2277,7 +2022,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*36 (MLText +*34 (MLText uid 39,0 va (VaSet isHidden 1 @@ -2285,7 +2030,7 @@ isHidden 1 xt "20000,0,20000,0" tm "SmCompilerDirectivesTextMgr" ) -*37 (Text +*35 (Text uid 40,0 va (VaSet isHidden 1 @@ -2295,7 +2040,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*38 (MLText +*36 (MLText uid 41,0 va (VaSet isHidden 1 @@ -2306,11 +2051,11 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "76,33,1266,960" -viewArea "-4400,-9440,53320,36820" +windowSize "0,114,1551,1074" +viewArea "-5500,-4200,87110,54040" cachedDiagramExtent "-750,-1000,86600,47000" hasePageBreakOrigin 1 -pageBreakOrigin "-73000,-2000" +pageBreakOrigin "-5000,-2000" isTopLevel 1 defaultCommentText (CommentText shape (Rectangle @@ -2387,11 +2132,11 @@ tm "PanelText" ) ) ) -localDecl *39 (SmLocalDecl +localDecl *37 (SmLocalDecl uid 3,0 stg "VerticalLayoutStrategy" textVec [ -*40 (Text +*38 (Text uid 4,0 va (VaSet font "Verdana,9,1" @@ -2400,7 +2145,7 @@ xt "27800,-1000,42400,200" st "Architecture Declarations" blo "27800,0" ) -*41 (MLText +*39 (MLText uid 5,0 va (VaSet font "Courier New,8,0" @@ -2408,7 +2153,7 @@ font "Courier New,8,0" xt "27800,-1000,27800,-1000" tm "LocalDeclTextMgr" ) -*42 (Text +*40 (Text uid 6,0 va (VaSet font "Verdana,9,1" @@ -2417,7 +2162,7 @@ xt "27800,200,33000,1400" st "Pre Decls" blo "27800,1200" ) -*43 (MLText +*41 (MLText uid 7,0 va (VaSet font "Courier New,8,0" @@ -2425,7 +2170,7 @@ font "Courier New,8,0" xt "27800,-1000,27800,-1000" tm "LocalDeclTextMgr" ) -*44 (Text +*42 (Text uid 8,0 va (VaSet font "Verdana,9,1" @@ -2434,7 +2179,7 @@ xt "27800,1400,34200,2600" st "Post Decls" blo "27800,2400" ) -*45 (MLText +*43 (MLText uid 9,0 va (VaSet font "Courier New,8,0" @@ -2444,11 +2189,11 @@ tm "LocalDeclTextMgr" ) ] ) -processDecl *46 (SmProcessDecl +processDecl *44 (SmProcessDecl uid 10,0 stg "VerticalLayoutStrategy" textVec [ -*47 (Text +*45 (Text uid 11,0 va (VaSet font "Verdana,9,1" @@ -2457,7 +2202,7 @@ xt "74500,-1000,86600,200" st "Process Declarations" blo "74500,0" ) -*48 (Text +*46 (Text uid 12,0 va (VaSet font "Verdana,9,1" @@ -2466,7 +2211,7 @@ xt "74500,200,84000,1400" st "Clocked Process:" blo "74500,1200" ) -*49 (MLText +*47 (MLText uid 13,0 va (VaSet font "Courier New,8,0" @@ -2474,7 +2219,7 @@ font "Courier New,8,0" xt "74500,-1000,74500,-1000" tm "ProcessDeclTextMgr" ) -*50 (Text +*48 (Text uid 14,0 va (VaSet font "Verdana,9,1" @@ -2483,7 +2228,7 @@ xt "74500,1400,83800,2600" st "Output Process:" blo "74500,2400" ) -*51 (MLText +*49 (MLText uid 15,0 va (VaSet font "Courier New,8,0" @@ -2494,11 +2239,11 @@ tm "ProcessDeclTextMgr" ] associable 1 ) -defaultActions *52 (MlTextGroup +defaultActions *50 (MlTextGroup uid 16,0 stg "VerticalLayoutStrategy" textVec [ -*53 (Text +*51 (Text uid 17,0 va (VaSet font "Verdana,9,1" @@ -2507,7 +2252,7 @@ xt "0,-1000,8400,200" st "Global Actions" blo "0,0" ) -*54 (Text +*52 (Text uid 18,0 va (VaSet font "Verdana,9,1" @@ -2516,14 +2261,14 @@ xt "0,200,7400,1400" st "Pre Actions:" blo "0,1200" ) -*55 (MLText +*53 (MLText uid 19,0 va (VaSet ) xt "0,-1000,0,-1000" tm "Actions" ) -*56 (Text +*54 (Text uid 20,0 va (VaSet font "Verdana,9,1" @@ -2532,7 +2277,7 @@ xt "0,1400,8000,2600" st "Post Actions:" blo "0,2400" ) -*57 (MLText +*55 (MLText uid 21,0 va (VaSet ) @@ -2542,7 +2287,7 @@ tm "Actions" ] associable 1 ) -archConcurrentStatementBlock *58 (BiTextGroup +archConcurrentStatementBlock *56 (BiTextGroup uid 22,0 stg "VerticalLayoutStrategy" first (Text @@ -2563,7 +2308,7 @@ tm "ArchConcStmtTextMgr" ) associable 1 ) -signalsGenStatus *59 (SmSignalGenStatus +signalsGenStatus *57 (SmSignalGenStatus uid 28,0 stg "VerticalLayoutStrategy" first (Text @@ -2580,14 +2325,15 @@ uid 30,0 va (VaSet font "Courier New,8,0" ) -xt "45400,200,72400,1800" +xt "45400,200,72400,2600" st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT Position OUT COMB +neg OUT COMB " tm "SmSignalsGenStatusTextMgr" ) ) -stateRegBlock *60 (BiTextGroup +stateRegBlock *58 (BiTextGroup uid 25,0 stg "VerticalLayoutStrategy" first (Text @@ -2674,85 +2420,84 @@ useVerilogParameterRange 0 radix 2 ) stateOrder [ -&2 +&15 &16 &17 -&18 ] name "csm" ) ] -lastUid 562,0 +lastUid 1099,0 commonDM (CommonDM ldm (LogicalDM -emptyRow *61 (LEmptyRow +emptyRow *59 (LEmptyRow ) uid 230,0 optionalChildren [ -*62 (RefLabelRowHdr +*60 (RefLabelRowHdr ) -*63 (TitleRowHdr +*61 (TitleRowHdr ) -*64 (FilterRowHdr +*62 (FilterRowHdr ) -*65 (RefLabelColHdr +*63 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*66 (RowExpandColHdr +*64 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*67 (GroupColHdr +*65 (GroupColHdr tm "GroupColHdrMgr" ) -*68 (NameColHdr +*66 (NameColHdr tm "SmNameColHdrMgr" ) -*69 (ModeColHdr +*67 (ModeColHdr tm "SmModeColHdrMgr" ) -*70 (TypeColHdr +*68 (TypeColHdr tm "SmTypeColHdrMgr" ) -*71 (BoundsColHdr +*69 (BoundsColHdr tm "SmBoundsColHdrMgr" ) -*72 (InitColHdr +*70 (InitColHdr tm "SmInitColHdrMgr" ) -*73 (ColumnHdr +*71 (ColumnHdr tm "SmCategoryColHdrMgr" ) -*74 (ColumnHdr +*72 (ColumnHdr tm "SmAssignColHdrMgr" ) -*75 (ColumnHdr +*73 (ColumnHdr tm "SmExprColHdrMgr" ) -*76 (ColumnHdr +*74 (ColumnHdr tm "SmSchemeColHdrMgr" ) -*77 (ColumnHdr +*75 (ColumnHdr tm "SmDefValColHdrMgr" ) -*78 (ColumnHdr +*76 (ColumnHdr tm "SmRstValColHdrMgr" ) -*79 (EolColHdr +*77 (EolColHdr tm "SmEolColHdrMgr" ) -*80 (LeafLogPort +*78 (LeafLogPort port (LogicalPort lang 11 decl (Decl -n "position20bit" +n "position24bit" t "unsigned" -b "(19 DOWNTO 0)" +b "(23 DOWNTO 0)" o 3 ) ) uid 156,0 ) -*81 (LeafLogPort +*79 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2766,7 +2511,7 @@ o 5 uid 158,0 scheme 0 ) -*82 (LeafLogPort +*80 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2778,12 +2523,11 @@ o 2 uid 327,0 ass "" ) -*83 (LeafLogPort +*81 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 1 ) ) @@ -2792,12 +2536,11 @@ cat 1 ass "" expr "clock'EVENT AND clock = '1'" ) -*84 (LeafLogPort +*82 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 ) ) @@ -2806,6 +2549,19 @@ cat 8 ass "" expr "reset = '0'" ) +*83 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "neg" +t "std_ulogic" +o 6 +) +) +uid 681,0 +scheme 0 +) ] ) pdm (PhysicalDM @@ -2813,7 +2569,7 @@ displayShortBounds 1 editShortBounds 1 uid 249,0 optionalChildren [ -*85 (Sheet +*84 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2830,62 +2586,68 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *86 (MRCItem -litem &61 -pos 5 +emptyMRCItem *85 (MRCItem +litem &59 +pos 6 dimension 20 ) uid 251,0 optionalChildren [ -*87 (MRCItem -litem &62 +*86 (MRCItem +litem &60 pos 0 dimension 20 uid 252,0 ) -*88 (MRCItem -litem &63 +*87 (MRCItem +litem &61 pos 1 dimension 23 uid 253,0 ) -*89 (MRCItem -litem &64 +*88 (MRCItem +litem &62 pos 2 hidden 1 dimension 20 uid 254,0 ) -*90 (MRCItem -litem &80 -pos 3 +*89 (MRCItem +litem &78 +pos 4 dimension 20 uid 157,0 ) -*91 (MRCItem -litem &81 -pos 2 +*90 (MRCItem +litem &79 +pos 3 dimension 20 uid 159,0 ) -*92 (MRCItem -litem &82 +*91 (MRCItem +litem &80 pos 1 dimension 20 uid 326,0 ) -*93 (MRCItem -litem &83 +*92 (MRCItem +litem &81 pos 0 dimension 20 uid 483,0 ) -*94 (MRCItem -litem &84 -pos 4 +*93 (MRCItem +litem &82 +pos 5 dimension 20 uid 485,0 ) +*94 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 682,0 +) ] ) sheetCol (SheetCol @@ -2898,85 +2660,85 @@ textAngle 90 uid 255,0 optionalChildren [ *95 (MRCItem -litem &65 +litem &63 pos 0 dimension 20 uid 256,0 ) *96 (MRCItem -litem &67 +litem &65 pos 1 dimension 50 uid 257,0 ) *97 (MRCItem -litem &68 +litem &66 pos 2 dimension 70 uid 258,0 ) *98 (MRCItem -litem &69 +litem &67 pos 3 dimension 50 uid 259,0 ) *99 (MRCItem -litem &70 +litem &68 pos 4 dimension 80 uid 260,0 ) *100 (MRCItem -litem &71 +litem &69 pos 5 dimension 80 uid 261,0 ) *101 (MRCItem -litem &72 +litem &70 pos 6 dimension 40 uid 262,0 ) *102 (MRCItem -litem &73 +litem &71 pos 7 dimension 100 uid 263,0 ) *103 (MRCItem -litem &74 +litem &72 pos 8 dimension 60 uid 264,0 ) *104 (MRCItem -litem &75 +litem &73 pos 9 dimension 130 uid 265,0 ) *105 (MRCItem -litem &76 +litem &74 pos 10 dimension 56 uid 266,0 ) *106 (MRCItem -litem &77 +litem &75 pos 11 dimension 50 uid 267,0 ) *107 (MRCItem -litem &78 +litem &76 pos 12 dimension 50 uid 268,0 ) *108 (MRCItem -litem &79 +litem &77 pos 13 dimension 80 uid 269,0 @@ -4010,6 +3772,6 @@ pts [ ] ) ) -activeModelName "StateMachine:CDM" +activeModelName "StateMachine" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/convertissor_position/interface b/Cursor/hds/convertissor_position/interface index 1dd549e..b117f8f 100644 --- a/Cursor/hds/convertissor_position/interface +++ b/Cursor/hds/convertissor_position/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 80,0 +suid 104,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,15 +67,14 @@ tm "EolColHdrMgr" ) *14 (LogPort port (LogicalPort -lang 11 decl (Decl n "clock" -t "std_ulogic" -o 2 -suid 76,0 +t "std_uLogic" +o 1 +suid 99,0 ) ) -uid 1164,0 +uid 1491,0 ) *15 (LogPort port (LogicalPort @@ -83,50 +82,62 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 8 -suid 77,0 +o 2 +suid 100,0 ) ) -uid 1166,0 +uid 1493,0 ) *16 (LogPort port (LogicalPort lang 11 m 1 decl (Decl +n "neg" +t "std_ulogic" +o 6 +suid 101,0 +) +) +uid 1495,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 6 -suid 78,0 +o 5 +suid 102,0 ) ) -uid 1168,0 -) -*17 (LogPort -port (LogicalPort -lang 11 -decl (Decl -n "position20bit" -t "unsigned" -b "(19 DOWNTO 0)" -o 9 -suid 79,0 -) -) -uid 1170,0 +uid 1497,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl +n "position24bit" +t "unsigned" +b "(23 DOWNTO 0)" +o 3 +suid 103,0 +) +) +uid 1499,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 80,0 +suid 104,0 ) ) -uid 1172,0 +uid 1501,0 ) ] ) @@ -135,7 +146,7 @@ displayShortBounds 1 editShortBounds 1 uid 80,0 optionalChildren [ -*19 (Sheet +*20 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -152,61 +163,67 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *20 (MRCItem +emptyMRCItem *21 (MRCItem litem &1 pos 3 dimension 20 ) uid 82,0 optionalChildren [ -*21 (MRCItem +*22 (MRCItem litem &2 pos 0 dimension 20 uid 83,0 ) -*22 (MRCItem +*23 (MRCItem litem &3 pos 1 dimension 23 uid 84,0 ) -*23 (MRCItem +*24 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 85,0 ) -*24 (MRCItem +*25 (MRCItem litem &14 pos 0 dimension 20 -uid 1165,0 +uid 1492,0 ) -*25 (MRCItem +*26 (MRCItem litem &15 pos 1 dimension 20 -uid 1167,0 +uid 1494,0 ) -*26 (MRCItem +*27 (MRCItem litem &16 pos 2 dimension 20 -uid 1169,0 +uid 1496,0 ) -*27 (MRCItem +*28 (MRCItem litem &17 pos 3 dimension 20 -uid 1171,0 +uid 1498,0 ) -*28 (MRCItem +*29 (MRCItem litem &18 pos 4 dimension 20 -uid 1173,0 +uid 1500,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1502,0 ) ] ) @@ -219,49 +236,49 @@ textAngle 90 ) uid 86,0 optionalChildren [ -*29 (MRCItem +*31 (MRCItem litem &5 pos 0 dimension 20 uid 87,0 ) -*30 (MRCItem +*32 (MRCItem litem &7 pos 1 dimension 50 uid 88,0 ) -*31 (MRCItem +*33 (MRCItem litem &8 pos 2 dimension 100 uid 89,0 ) -*32 (MRCItem +*34 (MRCItem litem &9 pos 3 dimension 50 uid 90,0 ) -*33 (MRCItem +*35 (MRCItem litem &10 pos 4 dimension 100 uid 91,0 ) -*34 (MRCItem +*36 (MRCItem litem &11 pos 5 dimension 100 uid 92,0 ) -*35 (MRCItem +*37 (MRCItem litem &12 pos 6 dimension 50 uid 93,0 ) -*36 (MRCItem +*38 (MRCItem litem &13 pos 7 dimension 80 @@ -282,38 +299,38 @@ uid 66,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *37 (LEmptyRow +emptyRow *39 (LEmptyRow ) uid 96,0 optionalChildren [ -*38 (RefLabelRowHdr +*40 (RefLabelRowHdr ) -*39 (TitleRowHdr +*41 (TitleRowHdr ) -*40 (FilterRowHdr +*42 (FilterRowHdr ) -*41 (RefLabelColHdr +*43 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*42 (RowExpandColHdr +*44 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*43 (GroupColHdr +*45 (GroupColHdr tm "GroupColHdrMgr" ) -*44 (NameColHdr +*46 (NameColHdr tm "GenericNameColHdrMgr" ) -*45 (TypeColHdr +*47 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*46 (InitColHdr +*48 (InitColHdr tm "GenericValueColHdrMgr" ) -*47 (PragmaColHdr +*49 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*48 (EolColHdr +*50 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -323,7 +340,7 @@ displayShortBounds 1 editShortBounds 1 uid 108,0 optionalChildren [ -*49 (Sheet +*51 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -340,27 +357,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *50 (MRCItem -litem &37 +emptyMRCItem *52 (MRCItem +litem &39 pos 3 dimension 20 ) uid 110,0 optionalChildren [ -*51 (MRCItem -litem &38 +*53 (MRCItem +litem &40 pos 0 dimension 20 uid 111,0 ) -*52 (MRCItem -litem &39 +*54 (MRCItem +litem &41 pos 1 dimension 23 uid 112,0 ) -*53 (MRCItem -litem &40 +*55 (MRCItem +litem &42 pos 2 hidden 1 dimension 20 @@ -377,44 +394,44 @@ textAngle 90 ) uid 114,0 optionalChildren [ -*54 (MRCItem -litem &41 +*56 (MRCItem +litem &43 pos 0 dimension 20 uid 115,0 ) -*55 (MRCItem -litem &43 +*57 (MRCItem +litem &45 pos 1 dimension 50 uid 116,0 ) -*56 (MRCItem -litem &44 +*58 (MRCItem +litem &46 pos 2 dimension 100 uid 117,0 ) -*57 (MRCItem -litem &45 +*59 (MRCItem +litem &47 pos 3 dimension 100 uid 118,0 ) -*58 (MRCItem -litem &46 +*60 (MRCItem +litem &48 pos 4 dimension 50 uid 119,0 ) -*59 (MRCItem -litem &47 +*61 (MRCItem +litem &49 pos 5 dimension 50 uid 120,0 ) -*60 (MRCItem -litem &48 +*62 (MRCItem +litem &50 pos 6 dimension 80 uid 121,0 @@ -437,23 +454,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.info" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.user" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -473,15 +490,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" ) (vvPair variable "date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "day" @@ -493,7 +510,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "18" ) (vvPair variable "entity_name" @@ -517,11 +534,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "simon.donnetmo" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "18.01.2022" ) (vvPair variable "graphical_source_group" @@ -529,11 +546,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "graphical_source_time" -value "15:10:53" +value "15:36:49" ) (vvPair variable "group" @@ -541,7 +558,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WEA20306" ) (vvPair variable "language" @@ -557,7 +574,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -565,19 +582,19 @@ value "convertissor_position" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" +value "Y:\\simon.donnetmo\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" ) (vvPair variable "package_name" @@ -605,7 +622,7 @@ value "interface" ) (vvPair variable "time" -value "15:10:53" +value "15:36:49" ) (vvPair variable "unit" @@ -613,7 +630,7 @@ value "convertissor_position" ) (vvPair variable "user" -value "remi" +value "simon.donnetmo" ) (vvPair variable "version" @@ -625,25 +642,25 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 65,0 optionalChildren [ -*61 (SymbolBody +*63 (SymbolBody uid 8,0 optionalChildren [ -*62 (CptPort -uid 1139,0 +*64 (CptPort +uid 1461,0 ps "OnEdgeStrategy" shape (Triangle -uid 1140,0 +uid 1462,0 ro 90 va (VaSet vasetType 1 @@ -652,11 +669,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 1141,0 +uid 1463,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1142,0 +uid 1464,0 va (VaSet font "Verdana,12,0" ) @@ -667,26 +684,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1143,0 +uid 1465,0 va (VaSet font "Courier New,8,0" ) +xt "44000,2400,63500,3200" +st "clock : IN std_uLogic ; +" ) thePort (LogicalPort -lang 11 decl (Decl n "clock" -t "std_ulogic" -o 2 -suid 76,0 +t "std_uLogic" +o 1 +suid 99,0 ) ) ) -*63 (CptPort -uid 1144,0 +*65 (CptPort +uid 1466,0 ps "OnEdgeStrategy" shape (Triangle -uid 1145,0 +uid 1467,0 ro 180 va (VaSet vasetType 1 @@ -695,11 +714,11 @@ fg "0,65535,0" xt "17625,5250,18375,6000" ) tg (CPTG -uid 1146,0 +uid 1468,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1147,0 +uid 1469,0 ro 270 va (VaSet font "Verdana,12,0" @@ -712,26 +731,76 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1148,0 +uid 1470,0 va (VaSet font "Courier New,8,0" ) +xt "44000,3200,63500,4000" +st "en : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "en" t "std_ulogic" -o 8 -suid 77,0 +o 2 +suid 100,0 ) ) ) -*64 (CptPort -uid 1149,0 +*66 (CptPort +uid 1471,0 ps "OnEdgeStrategy" shape (Triangle -uid 1150,0 +uid 1472,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 1473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1474,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19200,11700" +st "neg" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1475,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,62500,7200" +st "neg : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "neg" +t "std_ulogic" +o 6 +suid 101,0 +) +) +) +*67 (CptPort +uid 1476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1477,0 ro 90 va (VaSet vasetType 1 @@ -740,11 +809,11 @@ fg "0,65535,0" xt "23000,8625,23750,9375" ) tg (CPTG -uid 1151,0 +uid 1478,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1152,0 +uid 1479,0 va (VaSet font "Verdana,12,0" ) @@ -756,10 +825,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1153,0 +uid 1480,0 va (VaSet font "Courier New,8,0" ) +xt "44000,5600,69500,6400" +st "Position : OUT unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -768,60 +840,63 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 6 -suid 78,0 +o 5 +suid 102,0 ) ) ) -*65 (CptPort -uid 1154,0 +*68 (CptPort +uid 1481,0 ps "OnEdgeStrategy" shape (Triangle -uid 1155,0 +uid 1482,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "14250,8625,15000,9375" +xt "14250,7625,15000,8375" ) tg (CPTG -uid 1156,0 +uid 1483,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1157,0 +uid 1484,0 va (VaSet font "Verdana,12,0" ) -xt "16000,8300,25800,9700" -st "position20bit" -blo "16000,9500" +xt "16000,7300,25800,8700" +st "position24bit" +blo "16000,8500" tm "CptPortNameMgr" ) ) dt (MLText -uid 1158,0 +uid 1485,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4000,69500,4800" +st "position24bit : IN unsigned (23 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 decl (Decl -n "position20bit" +n "position24bit" t "unsigned" -b "(19 DOWNTO 0)" -o 9 -suid 79,0 +b "(23 DOWNTO 0)" +o 3 +suid 103,0 ) ) ) -*66 (CptPort -uid 1159,0 +*69 (CptPort +uid 1486,0 ps "OnEdgeStrategy" shape (Triangle -uid 1160,0 +uid 1487,0 ro 90 va (VaSet vasetType 1 @@ -830,11 +905,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 1161,0 +uid 1488,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1162,0 +uid 1489,0 va (VaSet font "Verdana,12,0" ) @@ -845,18 +920,20 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1163,0 +uid 1490,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4800,63500,5600" +st "reset : IN std_uLogic ; +" ) thePort (LogicalPort -lang 11 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 4 -suid 80,0 +suid 104,0 ) ) ) @@ -895,7 +972,7 @@ st "convertissor_position" blo "12750,13000" ) ) -gi *67 (GenericInterface +gi *70 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -905,7 +982,7 @@ uid 15,0 va (VaSet font "Courier New,8,0" ) -xt "-25500,7000,-14000,7800" +xt "-33500,7000,-22000,7800" st "Generic Declarations" ) header "Generic Declarations" @@ -924,10 +1001,10 @@ sTC 0 sF 0 ) ) -*68 (Grouping +*71 (Grouping uid 16,0 optionalChildren [ -*69 (CommentText +*72 (CommentText uid 18,0 shape (Rectangle uid 19,0 @@ -946,7 +1023,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,49600,49000" st " by %user on %dd %month %year " @@ -959,7 +1036,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*70 (CommentText +*73 (CommentText uid 21,0 shape (Rectangle uid 22,0 @@ -991,7 +1068,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*71 (CommentText +*74 (CommentText uid 24,0 shape (Rectangle uid 25,0 @@ -1023,7 +1100,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*72 (CommentText +*75 (CommentText uid 27,0 shape (Rectangle uid 28,0 @@ -1055,7 +1132,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*73 (CommentText +*76 (CommentText uid 30,0 shape (Rectangle uid 31,0 @@ -1086,7 +1163,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*74 (CommentText +*77 (CommentText uid 33,0 shape (Rectangle uid 34,0 @@ -1118,7 +1195,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*75 (CommentText +*78 (CommentText uid 36,0 shape (Rectangle uid 37,0 @@ -1149,7 +1226,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*76 (CommentText +*79 (CommentText uid 39,0 shape (Rectangle uid 40,0 @@ -1181,7 +1258,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*77 (CommentText +*80 (CommentText uid 42,0 shape (Rectangle uid 43,0 @@ -1213,7 +1290,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*78 (CommentText +*81 (CommentText uid 45,0 shape (Rectangle uid 46,0 @@ -1270,11 +1347,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *79 (PackageList +packageList *82 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ -*80 (Text +*83 (Text uid 49,0 va (VaSet font "Verdana,9,1" @@ -1283,7 +1360,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*81 (MLText +*84 (MLText uid 50,0 va (VaSet ) @@ -1411,7 +1488,7 @@ st "" blo "26800,17000" ) ) -gi *82 (GenericInterface +gi *85 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1512,7 +1589,7 @@ o 0 ) ) ) -DeclarativeBlock *83 (SymDeclBlock +DeclarativeBlock *86 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1538,9 +1615,9 @@ uid 4,0 va (VaSet font "Verdana,9,1" ) -xt "42000,6400,45200,7600" +xt "42000,7200,45200,8400" st "User:" -blo "42000,7400" +blo "42000,8200" ) internalLabel (Text uid 6,0 @@ -1557,7 +1634,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7600,44000,7600" +xt "44000,8400,44000,8400" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1570,6 +1647,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1173,0 +lastUid 1525,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cpt1bit/struct.bd b/Cursor/hds/cpt1bit/struct.bd index 62816b9..47e2a76 100644 --- a/Cursor/hds/cpt1bit/struct.bd +++ b/Cursor/hds/cpt1bit/struct.bd @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] instances [ (Instance @@ -133,23 +129,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -169,27 +165,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" ) (vvPair variable "date" -value "14.12.2021" +value "15.01.2022" ) (vvPair variable "day" -value "mar." +value "sam." ) (vvPair variable "day_long" -value "mardi" +value "samedi" ) (vvPair variable "dd" -value "14" +value "15" ) (vvPair variable "entity_name" @@ -213,11 +209,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "15.01.2022" ) (vvPair variable "graphical_source_group" @@ -225,11 +221,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:58:26" +value "21:26:49" ) (vvPair variable "group" @@ -237,7 +233,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -253,7 +249,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -261,19 +257,19 @@ value "cpt1bit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" ) (vvPair variable "package_name" @@ -301,7 +297,7 @@ value "struct" ) (vvPair variable "time" -value "13:58:26" +value "21:26:49" ) (vvPair variable "unit" @@ -309,7 +305,7 @@ value "cpt1bit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -321,11 +317,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -800,7 +796,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "29200,48000,38400,49000" +xt "29200,48000,39200,49000" st " by %user on %dd %month %year " @@ -2705,24 +2701,6 @@ sT 1 archFileType "UNKNOWN" ) *77 (Net -uid 442,0 -decl (Decl -n "out1" -t "std_uLogic" -o 8 -suid 8,0 -) -declText (MLText -uid 443,0 -va (VaSet -font "Courier New,8,0" -) -xt "41000,6200,56000,7000" -st "SIGNAL out1 : std_uLogic -" -) -) -*78 (Net uid 448,0 decl (Decl n "xorOut" @@ -2740,7 +2718,7 @@ st "SIGNAL xorOut : std_ulogic " ) ) -*79 (Net +*78 (Net uid 454,0 decl (Decl n "out2" @@ -2758,7 +2736,7 @@ st "SIGNAL out2 : std_uLogic " ) ) -*80 (Net +*79 (Net uid 466,0 decl (Decl n "out3" @@ -2776,7 +2754,7 @@ st "SIGNAL out3 : std_uLogic " ) ) -*81 (Net +*80 (Net uid 472,0 decl (Decl n "xorOut1" @@ -2794,6 +2772,24 @@ st "SIGNAL xorOut1 : std_ulogic " ) ) +*81 (Net +uid 586,0 +decl (Decl +n "out1" +t "std_uLogic" +o 12 +suid 13,0 +) +declText (MLText +uid 587,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,6200,56000,7000" +st "SIGNAL out1 : std_uLogic +" +) +) *82 (Wire uid 15,0 shape (OrthoPolyLine @@ -3092,52 +3088,6 @@ tm "WireNameMgr" on &14 ) *91 (Wire -uid 444,0 -shape (OrthoPolyLine -uid 445,0 -va (VaSet -vasetType 3 -) -xt "17750,15000,20382,15000" -pts [ -"17750,15000" -"20382,15000" -] -) -start &65 -end &45 -sat 32 -eat 32 -stc 0 -sf 1 -si 0 -tg (WTG -uid 446,0 -ps "ConnStartEndStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 447,0 -va (VaSet -isHidden 1 -) -xt "19750,13800,22750,15000" -st "out1" -blo "19750,14800" -tm "WireNameMgr" -) -s (Text -uid 529,0 -va (VaSet -isHidden 1 -) -xt "19750,15000,19750,15000" -blo "19750,15000" -tm "SignalTypeMgr" -) -) -on &77 -) -*92 (Wire uid 450,0 shape (OrthoPolyLine uid 451,0 @@ -3183,9 +3133,9 @@ blo "30000,17000" tm "SignalTypeMgr" ) ) -on &78 +on &77 ) -*93 (Wire +*92 (Wire uid 456,0 shape (OrthoPolyLine uid 457,0 @@ -3229,9 +3179,9 @@ blo "44950,35000" tm "SignalTypeMgr" ) ) -on &79 +on &78 ) -*94 (Wire +*93 (Wire uid 468,0 shape (OrthoPolyLine uid 469,0 @@ -3275,9 +3225,9 @@ blo "32750,37000" tm "SignalTypeMgr" ) ) -on &80 +on &79 ) -*95 (Wire +*94 (Wire uid 474,0 shape (OrthoPolyLine uid 475,0 @@ -3323,9 +3273,9 @@ blo "30000,28000" tm "SignalTypeMgr" ) ) -on &81 +on &80 ) -*96 (Wire +*95 (Wire uid 478,0 shape (OrthoPolyLine uid 479,0 @@ -3363,10 +3313,10 @@ tm "WireNameMgr" ) on &4 ) -*97 (Wire +*96 (Wire uid 484,0 optionalChildren [ -*98 (BdJunction +*97 (BdJunction uid 494,0 ps "OnConnectorStrategy" shape (Circle @@ -3417,7 +3367,7 @@ tm "WireNameMgr" ) on &6 ) -*99 (Wire +*98 (Wire uid 490,0 shape (OrthoPolyLine uid 491,0 @@ -3432,7 +3382,7 @@ pts [ ] ) start &38 -end &98 +end &97 sat 32 eat 32 stc 0 @@ -3455,6 +3405,51 @@ tm "WireNameMgr" ) on &6 ) +*99 (Wire +uid 588,0 +shape (OrthoPolyLine +uid 589,0 +va (VaSet +vasetType 3 +) +xt "17750,15000,20382,15000" +pts [ +"17750,15000" +"20382,15000" +] +) +start &65 +end &45 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 590,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 591,0 +va (VaSet +isHidden 1 +) +xt "19750,13800,22750,15000" +st "out1" +blo "19750,14800" +tm "WireNameMgr" +) +s (Text +va (VaSet +isHidden 1 +) +xt "19750,15000,19750,15000" +blo "19750,15000" +tm "SignalTypeMgr" +) +) +on &81 +) ] bg "65535,65535,65535" grid (Grid @@ -3484,13 +3479,12 @@ blo "1000,-1400" uid 155,0 va (VaSet ) -xt "1000,-1200,20000,6000" +xt "1000,-1200,18500,4800" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -3568,12 +3562,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-30688,-5947,66758,53522" +windowSize "93,70,1647,1006" +viewArea "-19230,-5900,78894,51820" cachedDiagramExtent "-6400,-3000,67100,49000" hasePageBreakOrigin 1 -pageBreakOrigin "-7000,0" -lastUid 533,0 +pageBreakOrigin "-7000,-49000" +lastUid 593,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4613,7 +4607,7 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 12,0 +suid 13,0 usingSuid 1 emptyRow *131 (LEmptyRow ) @@ -4742,18 +4736,6 @@ uid 119,0 port (LogicalPort m 4 decl (Decl -n "out1" -t "std_uLogic" -o 8 -suid 8,0 -) -) -uid 460,0 -) -*152 (LeafLogPort -port (LogicalPort -m 4 -decl (Decl n "xorOut" t "std_ulogic" o 11 @@ -4762,7 +4744,7 @@ suid 9,0 ) uid 462,0 ) -*153 (LeafLogPort +*152 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -4774,7 +4756,7 @@ suid 10,0 ) uid 464,0 ) -*154 (LeafLogPort +*153 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -4786,7 +4768,7 @@ suid 11,0 ) uid 496,0 ) -*155 (LeafLogPort +*154 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -4798,6 +4780,18 @@ suid 12,0 ) uid 498,0 ) +*155 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 12 +suid 13,0 +) +) +uid 592,0 +) ] ) pdm (PhysicalDM @@ -4894,31 +4888,31 @@ uid 120,0 litem &151 pos 7 dimension 20 -uid 461,0 +uid 463,0 ) *169 (MRCItem litem &152 pos 8 dimension 20 -uid 463,0 +uid 465,0 ) *170 (MRCItem litem &153 pos 9 dimension 20 -uid 465,0 +uid 497,0 ) *171 (MRCItem litem &154 pos 10 dimension 20 -uid 497,0 +uid 499,0 ) *172 (MRCItem litem &155 pos 11 dimension 20 -uid 499,0 +uid 593,0 ) ] ) diff --git a/Cursor/hds/cpt1bit/symbol.sb b/Cursor/hds/cpt1bit/symbol.sb index 8729cc5..908758a 100644 --- a/Cursor/hds/cpt1bit/symbol.sb +++ b/Cursor/hds/cpt1bit/symbol.sb @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -181,7 +177,7 @@ font "Tahoma,10,0" ) emptyMRCItem *22 (MRCItem litem &1 -pos 3 +pos 7 dimension 20 ) uid 117,0 @@ -381,7 +377,7 @@ font "Tahoma,10,0" ) emptyMRCItem *54 (MRCItem litem &41 -pos 3 +pos 0 dimension 20 ) uid 145,0 @@ -476,23 +472,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -512,23 +508,23 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" ) (vvPair variable "date" -value "14.12.2021" +value "14.01.2022" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" @@ -556,11 +552,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "14.01.2022" ) (vvPair variable "graphical_source_group" @@ -568,11 +564,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:02:22" +value "09:12:22" ) (vvPair variable "group" @@ -580,7 +576,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -596,7 +592,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -604,19 +600,19 @@ value "cpt1bit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" ) (vvPair variable "package_name" @@ -644,7 +640,7 @@ value "symbol" ) (vvPair variable "time" -value "14:02:22" +value "09:12:22" ) (vvPair variable "unit" @@ -652,7 +648,7 @@ value "cpt1bit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -664,11 +660,11 @@ value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -1021,6 +1017,7 @@ xt "15000,2000,26000,16000" ) ] shape (Rectangle +uid 256,0 va (VaSet vasetType 1 transparent 1 @@ -1446,21 +1443,21 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] ) -windowSize "0,0,1015,690" -viewArea "0,0,0,0" -cachedDiagramExtent "0,0,0,0" -pageBreakOrigin "0,0" +windowSize "170,114,1186,804" +viewArea "-500,-500,70420,50080" +cachedDiagramExtent "-10500,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1730,8 +1727,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 232,0 +lastUid 256,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 -activeModelName "Symbol:CDM" +activeModelName "Symbol" ) diff --git a/Cursor/hds/cpt4bit/struct.bd b/Cursor/hds/cpt4bit/struct.bd index 18ec574..5b16579 100644 --- a/Cursor/hds/cpt4bit/struct.bd +++ b/Cursor/hds/cpt4bit/struct.bd @@ -3,22 +3,6 @@ Header (DocumentHdr version 2 dialect 11 dmPackageRefs [ -(DmPackageRef -library "ieee" -unitName "std_logic_1164" -) -(DmPackageRef -library "ieee" -unitName "numeric_std" -) -(DmPackageRef -library "gates" -unitName "gates" -) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] instances [ (Instance @@ -58,10 +42,6 @@ mwi 0 uid 347,0 ) ] -libraryRefs [ -"ieee" -"gates" -] ) version "32.1" appVersion "2019.2 (Build 5)" @@ -71,23 +51,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -107,15 +87,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" ) (vvPair variable "date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "day" @@ -127,7 +107,7 @@ value "mardi" ) (vvPair variable "dd" -value "21" +value "11" ) (vvPair variable "entity_name" @@ -151,11 +131,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "11.01.2022" ) (vvPair variable "graphical_source_group" @@ -163,11 +143,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:37:10" +value "16:06:42" ) (vvPair variable "group" @@ -175,7 +155,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -191,7 +171,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -199,19 +179,19 @@ value "cpt4bit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" ) (vvPair variable "package_name" @@ -239,7 +219,7 @@ value "struct" ) (vvPair variable "time" -value "13:37:10" +value "16:06:42" ) (vvPair variable "unit" @@ -247,7 +227,7 @@ value "cpt4bit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -259,11 +239,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -330,8 +310,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-7800,32000,-7000" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *3 (PortIoIn @@ -394,8 +373,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-7000,32000,-6200" -st "eni : std_ulogic -" +st "eni : std_ulogic" ) ) *5 (PortIoOut @@ -458,8 +436,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-4600,37500,-3800" -st "Qi : unsigned(3 DOWNTO 0) -" +st "Qi : unsigned(3 DOWNTO 0)" ) ) *7 (PortIoIn @@ -522,8 +499,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-8600,32000,-7800" -st "RaZ : std_ulogic -" +st "RaZ : std_ulogic" ) ) *9 (PortIoOut @@ -585,8 +561,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-3800,32000,-3000" -st "RCOi : std_ulogic -" +st "RCOi : std_ulogic" ) ) *11 (PortIoIn @@ -649,8 +624,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-6200,32000,-5400" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) *13 (PortIoIn @@ -713,8 +687,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-5400,32000,-4600" -st "up : std_ulogic -" +st "up : std_ulogic" ) ) *15 (Grouping @@ -741,7 +714,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "29200,48000,38400,49000" +xt "29200,48000,39200,49000" st " by %user on %dd %month %year " @@ -2542,8 +2515,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-1800,36000,-1000" -st "SIGNAL RCOi1 : std_ulogic -" +st "SIGNAL RCOi1 : std_ulogic" ) ) *75 (Net @@ -2561,8 +2533,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-1000,36000,-200" -st "SIGNAL RCOi2 : std_ulogic -" +st "SIGNAL RCOi2 : std_ulogic" ) ) *76 (Net @@ -2580,8 +2551,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,-200,36000,600" -st "SIGNAL RCOi3 : std_ulogic -" +st "SIGNAL RCOi3 : std_ulogic" ) ) *77 (Wire @@ -3763,13 +3733,7 @@ blo "0,1000" uid 155,0 va (VaSet ) -xt "0,1200,19000,8400" -st "LIBRARY ieee; - USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all; -LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +xt "0,1200,17500,7200" tm "PackageList" ) ] @@ -3847,12 +3811,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1537,960" -viewArea "-30700,-7300,91037,67844" +windowSize "0,24,1539,960" +viewArea "-30700,-29580,91223,45564" cachedDiagramExtent "-7200,-11000,66000,76600" hasePageBreakOrigin 1 pageBreakOrigin "-80000,-49000" -lastUid 751,0 +lastUid 881,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/cpt4bit/symbol.sb b/Cursor/hds/cpt4bit/symbol.sb index 8565a4e..a57b7f2 100644 --- a/Cursor/hds/cpt4bit/symbol.sb +++ b/Cursor/hds/cpt4bit/symbol.sb @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -182,7 +178,7 @@ font "Tahoma,10,0" ) emptyMRCItem *22 (MRCItem litem &1 -pos 3 +pos 7 dimension 20 ) uid 117,0 @@ -382,7 +378,7 @@ font "Tahoma,10,0" ) emptyMRCItem *54 (MRCItem litem &41 -pos 3 +pos 0 dimension 20 ) uid 145,0 @@ -477,23 +473,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -513,27 +509,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" ) (vvPair variable "date" -value "21.12.2021" +value "14.01.2022" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "21" +value "14" ) (vvPair variable "entity_name" @@ -557,11 +553,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "14.01.2022" ) (vvPair variable "graphical_source_group" @@ -569,11 +565,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:37:10" +value "09:12:41" ) (vvPair variable "group" @@ -581,7 +577,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -597,7 +593,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -605,19 +601,19 @@ value "cpt4bit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" ) (vvPair variable "package_name" @@ -645,7 +641,7 @@ value "symbol" ) (vvPair variable "time" -value "13:37:10" +value "09:12:41" ) (vvPair variable "unit" @@ -653,7 +649,7 @@ value "cpt4bit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -665,11 +661,11 @@ value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -712,8 +708,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,59500,4000" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -758,8 +753,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,59500,4800" -st "eni : IN std_ulogic ; -" +st "eni : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -805,8 +799,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,64500,7200" -st "Qi : OUT unsigned (3 DOWNTO 0) ; -" +st "Qi : OUT unsigned (3 DOWNTO 0) ;" ) thePort (LogicalPort lang 11 @@ -853,8 +846,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,59500,3200" -st "RaZ : IN std_ulogic ; -" +st "RaZ : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -900,8 +892,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,58500,8000" -st "RCOi : OUT std_ulogic -" +st "RCOi : OUT std_ulogic " ) thePort (LogicalPort lang 11 @@ -947,8 +938,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,59500,5600" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -993,8 +983,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,59500,6400" -st "up : IN std_ulogic ; -" +st "up : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -1432,21 +1421,21 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] ) -windowSize "0,0,1015,690" -viewArea "0,0,0,0" -cachedDiagramExtent "0,0,0,0" -pageBreakOrigin "0,0" +windowSize "255,171,1271,861" +viewArea "-500,-500,70420,50080" +cachedDiagramExtent "-10500,-1750,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-2000" defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1716,8 +1705,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 249,0 +lastUid 272,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 -activeModelName "Symbol:CDM" +activeModelName "Symbol" ) diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index 148d477..ae19082 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -11,6 +11,10 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] instances [ (Instance @@ -32,26 +36,199 @@ mwi 0 uid 11442,0 ) (Instance -name "I3" +name "I4" duLibraryName "Cursor" -duName "Driver" +duName "Main2" elements [ ] mwi 0 -uid 12354,0 +uid 14584,0 ) (Instance name "I2" -duLibraryName "Cursor" -duName "Main" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 16930,0 +) +(Instance +name "I5" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 16941,0 +) +(Instance +name "I6" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 16960,0 +) +(Instance +name "I7" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 16979,0 +) +(Instance +name "I8" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17153,0 +) +(Instance +name "I9" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17172,0 +) +(Instance +name "I10" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17191,0 +) +(Instance +name "I11" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17210,0 +) +(Instance +name "I12" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17719,0 +) +(Instance +name "I13" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 17752,0 +) +(Instance +name "I14" +duLibraryName "gates" +duName "logic0" elements [ ] mwi 0 -uid 12411,0 +uid 18941,0 +) +(Instance +name "I15" +duLibraryName "gates" +duName "logic1" +elements [ +] +mwi 0 +uid 18967,0 +) +(Instance +name "I16" +duLibraryName "Cursor" +duName "driver2" +elements [ +] +mwi 0 +uid 19388,0 +) +(Instance +name "I3" +duLibraryName "sequential" +duName "DFFE" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 19625,0 ) ] libraryRefs [ "ieee" +"gates" ] ) version "32.1" @@ -66,23 +243,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -102,27 +279,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "designName" @@ -150,11 +327,11 @@ value "student@version" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -162,11 +339,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "15:59:49" +value "20:03:51" ) (vvPair variable "group" @@ -174,7 +351,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -206,7 +383,7 @@ value "D:\\Users\\Syslo\\Chronometer\\Synthesis" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -214,19 +391,19 @@ value "cursorCircuit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" ) (vvPair variable "package_name" @@ -302,7 +479,7 @@ value "studentVersion" ) (vvPair variable "time" -value "15:59:49" +value "20:03:51" ) (vvPair variable "unit" @@ -310,7 +487,7 @@ value "cursorCircuit" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -322,11 +499,11 @@ value "studentVersion" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -335,9 +512,10 @@ uid 41,0 optionalChildren [ *1 (Net uid 209,0 +lang 11 decl (Decl n "reset" -t "std_uLogic" +t "std_ulogic" o 8 suid 1,0 ) @@ -346,15 +524,16 @@ uid 210,0 va (VaSet isHidden 1 ) -xt "26000,1000,38100,2200" -st "reset : std_uLogic" +xt "26000,1000,37800,2200" +st "reset : std_ulogic" ) ) *2 (Net uid 217,0 +lang 11 decl (Decl n "clock" -t "std_uLogic" +t "std_ulogic" o 2 suid 2,0 ) @@ -363,8 +542,8 @@ uid 218,0 va (VaSet isHidden 1 ) -xt "26000,-2600,38200,-1400" -st "clock : std_uLogic" +xt "26000,-2600,37900,-1400" +st "clock : std_ulogic" ) ) *3 (Grouping @@ -449,7 +628,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "125200,150400,139700,151600" +xt "125200,150400,144700,151600" st " by %user on %dd %month %year " @@ -841,16 +1020,16 @@ optionalChildren [ uid 2944,0 sl 0 ro 270 -xt "126500,9625,128000,10375" +xt "163500,18625,165000,19375" ) (Line uid 2945,0 sl 0 ro 270 -xt "126000,10000,126500,10000" +xt "163000,19000,163500,19000" pts [ -"126000,10000" -"126500,10000" +"163000,19000" +"163500,19000" ] ) ] @@ -865,9 +1044,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "129000,9300,146700,10700" -st "testOut : (1 DOWNTO 0)" -blo "129000,10500" +xt "166000,18300,179200,19700" +st "testOut : (1 to 16)" +blo "166000,19500" tm "WireNameMgr" ) s (Text @@ -875,8 +1054,8 @@ uid 2948,0 va (VaSet font "Verdana,12,0" ) -xt "129000,10700,129000,10700" -blo "129000,10700" +xt "166000,19700,166000,19700" +blo "166000,19700" tm "SignalTypeMgr" ) ) @@ -1886,16 +2065,16 @@ optionalChildren [ uid 9991,0 sl 0 ro 270 -xt "137500,77625,139000,78375" +xt "125500,83625,127000,84375" ) (Line uid 9992,0 sl 0 ro 270 -xt "137000,78000,137500,78000" +xt "125000,84000,125500,84000" pts [ -"137000,78000" -"137500,78000" +"125000,84000" +"125500,84000" ] ) ] @@ -1910,9 +2089,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "140000,77300,144900,78700" +xt "128000,83300,132900,84700" st "CS1_n" -blo "140000,78500" +blo "128000,84500" tm "WireNameMgr" ) s (Text @@ -1920,8 +2099,8 @@ uid 9995,0 va (VaSet font "Verdana,12,0" ) -xt "140000,78700,140000,78700" -blo "140000,78700" +xt "128000,84700,128000,84700" +blo "128000,84700" tm "SignalTypeMgr" ) ) @@ -1939,16 +2118,16 @@ optionalChildren [ uid 9998,0 sl 0 ro 270 -xt "137500,79625,139000,80375" +xt "125500,85625,127000,86375" ) (Line uid 9999,0 sl 0 ro 270 -xt "137000,80000,137500,80000" +xt "125000,86000,125500,86000" pts [ -"137000,80000" -"137500,80000" +"125000,86000" +"125500,86000" ] ) ] @@ -1963,9 +2142,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "140000,79300,143200,80700" +xt "128000,85300,131200,86700" st "SCL" -blo "140000,80500" +blo "128000,86500" tm "WireNameMgr" ) s (Text @@ -1973,8 +2152,8 @@ uid 10002,0 va (VaSet font "Verdana,12,0" ) -xt "140000,80700,140000,80700" -blo "140000,80700" +xt "128000,86700,128000,86700" +blo "128000,86700" tm "SignalTypeMgr" ) ) @@ -1992,16 +2171,16 @@ optionalChildren [ uid 10005,0 sl 0 ro 270 -xt "137500,81625,139000,82375" +xt "125500,87625,127000,88375" ) (Line uid 10006,0 sl 0 ro 270 -xt "137000,82000,137500,82000" +xt "125000,88000,125500,88000" pts [ -"137000,82000" -"137500,82000" +"125000,88000" +"125500,88000" ] ) ] @@ -2016,9 +2195,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "140000,81300,142100,82700" +xt "128000,87300,130100,88700" st "SI" -blo "140000,82500" +blo "128000,88500" tm "WireNameMgr" ) s (Text @@ -2026,8 +2205,8 @@ uid 10009,0 va (VaSet font "Verdana,12,0" ) -xt "140000,82700,140000,82700" -blo "140000,82700" +xt "128000,88700,128000,88700" +blo "128000,88700" tm "SignalTypeMgr" ) ) @@ -2045,16 +2224,16 @@ optionalChildren [ uid 10012,0 sl 0 ro 270 -xt "137500,83625,139000,84375" +xt "125500,89625,127000,90375" ) (Line uid 10013,0 sl 0 ro 270 -xt "137000,84000,137500,84000" +xt "125000,90000,125500,90000" pts [ -"137000,84000" -"137500,84000" +"125000,90000" +"125500,90000" ] ) ] @@ -2069,9 +2248,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "140000,83300,142400,84700" +xt "128000,89300,130400,90700" st "A0" -blo "140000,84500" +blo "128000,90500" tm "WireNameMgr" ) s (Text @@ -2079,8 +2258,8 @@ uid 10016,0 va (VaSet font "Verdana,12,0" ) -xt "140000,84700,140000,84700" -blo "140000,84700" +xt "128000,90700,128000,90700" +blo "128000,90700" tm "SignalTypeMgr" ) ) @@ -2098,16 +2277,16 @@ optionalChildren [ uid 10019,0 sl 0 ro 270 -xt "137500,85625,139000,86375" +xt "125500,91625,127000,92375" ) (Line uid 10020,0 sl 0 ro 270 -xt "137000,86000,137500,86000" +xt "125000,92000,125500,92000" pts [ -"137000,86000" -"137500,86000" +"125000,92000" +"125500,92000" ] ) ] @@ -2122,9 +2301,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "140000,85300,144700,86700" +xt "128000,91300,132700,92700" st "RST_n" -blo "140000,86500" +blo "128000,92500" tm "WireNameMgr" ) s (Text @@ -2132,8 +2311,8 @@ uid 10023,0 va (VaSet font "Verdana,12,0" ) -xt "140000,86700,140000,86700" -blo "140000,86700" +xt "128000,92700,128000,92700" +blo "128000,92700" tm "SignalTypeMgr" ) ) @@ -2340,9 +2519,8 @@ st "SIGNAL unlock : std_ulogic" ) *65 (Net uid 11649,0 -lang 11 decl (Decl -n "SideL" +n "sideL" t "std_ulogic" o 25 suid 86,0 @@ -2352,8 +2530,8 @@ uid 11650,0 va (VaSet isHidden 1 ) -xt "0,0,16500,1200" -st "SIGNAL SideL : std_ulogic" +xt "0,0,16400,1200" +st "SIGNAL sideL : std_ulogic" ) ) *66 (Net @@ -2375,862 +2553,12 @@ xt "0,0,23800,1200" st "SIGNAL Power : unsigned(7 DOWNTO 0)" ) ) -*67 (SaComponent -uid 12354,0 -optionalChildren [ -*68 (CptPort -uid 12326,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12327,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "119250,69625,120000,70375" -) -tg (CPTG -uid 12328,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12329,0 -va (VaSet -font "Verdana,12,0" -) -xt "121000,69300,124800,70700" -st "clock" -blo "121000,70500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "clock" -t "std_ulogic" -o 3 -suid 1,0 -) -) -) -*69 (CptPort -uid 12330,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12331,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "128000,59625,128750,60375" -) -tg (CPTG -uid 12332,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12333,0 -va (VaSet -font "Verdana,12,0" -) -xt "120700,59300,127000,60700" -st "motorOn" -ju 2 -blo "127000,60500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "motorOn" -t "std_uLogic" -o 5 -suid 2,0 -) -) -) -*70 (CptPort -uid 12334,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12335,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "119250,61625,120000,62375" -) -tg (CPTG -uid 12336,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12337,0 -va (VaSet -font "Verdana,12,0" -) -xt "121000,61300,125800,62700" -st "Power" -blo "121000,62500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "Power" -t "unsigned" -b "(7 DOWNTO 0)" -o 1 -suid 3,0 -) -) -) -*71 (CptPort -uid 12338,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12339,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "119250,70625,120000,71375" -) -tg (CPTG -uid 12340,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12341,0 -va (VaSet -font "Verdana,12,0" -) -xt "121000,70300,125100,71700" -st "reset" -blo "121000,71500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "reset" -t "std_ulogic" -o 4 -suid 4,0 -) -) -) -*72 (CptPort -uid 12342,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12343,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "128000,67625,128750,68375" -) -tg (CPTG -uid 12344,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12345,0 -va (VaSet -font "Verdana,12,0" -) -xt "122800,67300,127000,68700" -st "side1" -ju 2 -blo "127000,68500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "side1" -t "std_uLogic" -o 6 -suid 5,0 -) -) -) -*73 (CptPort -uid 12346,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12347,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "128000,65625,128750,66375" -) -tg (CPTG -uid 12348,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12349,0 -va (VaSet -font "Verdana,12,0" -) -xt "122800,65300,127000,66700" -st "side2" -ju 2 -blo "127000,66500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "side2" -t "std_uLogic" -o 7 -suid 6,0 -) -) -) -*74 (CptPort -uid 12350,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12351,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "119250,65625,120000,66375" -) -tg (CPTG -uid 12352,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12353,0 -va (VaSet -font "Verdana,12,0" -) -xt "121000,65300,125200,66700" -st "SideL" -blo "121000,66500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "SideL" -t "std_ulogic" -o 2 -suid 7,0 -) -) -) -] -shape (Rectangle -uid 12355,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "120000,59000,128000,73000" -) -oxt "15000,6000,23000,20000" -ttg (MlTextGroup -uid 12356,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*75 (Text -uid 12357,0 -va (VaSet -font "Verdana,9,1" -) -xt "122150,64800,125850,66000" -st "Cursor" -blo "122150,65800" -tm "BdLibraryNameMgr" -) -*76 (Text -uid 12358,0 -va (VaSet -font "Verdana,9,1" -) -xt "122150,66000,125750,67200" -st "Driver" -blo "122150,67000" -tm "CptNameMgr" -) -*77 (Text -uid 12359,0 -va (VaSet -font "Verdana,9,1" -) -xt "122150,67200,123850,68400" -st "I3" -blo "122150,68200" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 12360,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 12361,0 -text (MLText -uid 12362,0 -va (VaSet -font "Courier New,8,0" -) -xt "94500,62000,94500,62000" -) -header "" -) -elements [ -] -) -portVis (PortSigDisplay -sTC 0 -sF 0 -) -archFileType "UNKNOWN" -) -*78 (SaComponent -uid 12411,0 -optionalChildren [ -*79 (CptPort -uid 12363,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12364,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,41625,80000,42375" -) -tg (CPTG -uid 12365,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12366,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,41300,86000,42700" -st "button" -blo "81000,42500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "button" -t "unsigned" -b "(3 DOWNTO 0)" -o 2 -suid 75,0 -) -) -) -*80 (CptPort -uid 12367,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12368,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,60625,80000,61375" -) -tg (CPTG -uid 12369,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12370,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,60300,83400,61700" -st "clk" -blo "81000,61500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "clk" -t "unsigned" -o 3 -suid 76,0 -) -) -) -*81 (CptPort -uid 12371,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12372,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,9625,80000,10375" -) -tg (CPTG -uid 12373,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12374,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,9300,86800,10700" -st "Position" -blo "81000,10500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "Position" -t "unsigned" -b "(15 DOWNTO 0)" -o 1 -suid 77,0 -) -) -) -*82 (CptPort -uid 12375,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12376,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "110000,61625,110750,62375" -) -tg (CPTG -uid 12377,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12378,0 -va (VaSet -font "Verdana,12,0" -) -xt "104200,61300,109000,62700" -st "Power" -ju 2 -blo "109000,62500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "Power" -t "unsigned" -b "(7 DOWNTO 0)" -o 8 -suid 78,0 -) -) -) -*83 (CptPort -uid 12379,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12380,0 -ro 270 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,16625,80000,17375" -) -tg (CPTG -uid 12381,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12382,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,16300,84200,17700" -st "RaZ" -blo "81000,17500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "RaZ" -t "std_ulogic" -o 9 -suid 79,0 -) -) -) -*84 (CptPort -uid 12383,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12384,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,61625,80000,62375" -) -tg (CPTG -uid 12385,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12386,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,61300,83500,62700" -st "rst" -blo "81000,62500" -) -) -thePort (LogicalPort -lang 11 -decl (Decl -n "rst" -t "unsigned" -o 4 -suid 80,0 -) -) -) -*85 (CptPort -uid 12387,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12388,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,55625,80000,56375" -) -tg (CPTG -uid 12389,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12390,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,55300,86900,56700" -st "sensor1" -blo "81000,56500" -) -) -thePort (LogicalPort -decl (Decl -n "sensor1" -t "std_uLogic" -o 5 -suid 81,0 -) -) -) -*86 (CptPort -uid 12391,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12392,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,53625,80000,54375" -) -tg (CPTG -uid 12393,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12394,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,53300,86900,54700" -st "sensor2" -blo "81000,54500" -) -) -thePort (LogicalPort -decl (Decl -n "sensor2" -t "std_uLogic" -o 6 -suid 82,0 -) -) -) -*87 (CptPort -uid 12395,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12396,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "110000,65625,110750,66375" -) -tg (CPTG -uid 12397,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12398,0 -va (VaSet -font "Verdana,12,0" -) -xt "104800,65300,109000,66700" -st "SideL" -ju 2 -blo "109000,66500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "SideL" -t "std_ulogic" -o 10 -suid 83,0 -) -) -) -*88 (CptPort -uid 12399,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12400,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,59625,80000,60375" -) -tg (CPTG -uid 12401,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12402,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,59300,87700,60700" -st "testMode" -blo "81000,60500" -) -) -thePort (LogicalPort -decl (Decl -n "testMode" -t "std_uLogic" -o 7 -suid 84,0 -) -) -) -*89 (CptPort -uid 12403,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12404,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "110000,9625,110750,10375" -) -tg (CPTG -uid 12405,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 12406,0 -va (VaSet -font "Verdana,12,0" -) -xt "103400,9300,109000,10700" -st "testOut" -ju 2 -blo "109000,10500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 DOWNTO 0)" -o 11 -suid 85,0 -) -) -) -*90 (CptPort -uid 12407,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 12408,0 -ro 270 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "79250,47625,80000,48375" -) -tg (CPTG -uid 12409,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 12410,0 -va (VaSet -font "Verdana,12,0" -) -xt "81000,47300,85800,48700" -st "unlock" -blo "81000,48500" -) -) -thePort (LogicalPort -lang 11 -m 1 -decl (Decl -n "unlock" -t "std_ulogic" -o 12 -suid 86,0 -) -) -) -] -shape (Rectangle -uid 12412,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "80000,8000,110000,71000" -) -oxt "15000,6000,45000,69000" -ttg (MlTextGroup -uid 12413,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*91 (Text -uid 12414,0 -va (VaSet -font "Verdana,9,1" -) -xt "93150,38300,96850,39500" -st "Cursor" -blo "93150,39300" -tm "BdLibraryNameMgr" -) -*92 (Text -uid 12415,0 -va (VaSet -font "Verdana,9,1" -) -xt "93150,39500,96050,40700" -st "Main" -blo "93150,40500" -tm "CptNameMgr" -) -*93 (Text -uid 12416,0 -va (VaSet -font "Verdana,9,1" -) -xt "93150,40700,94850,41900" -st "I2" -blo "93150,41700" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 12417,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 12418,0 -text (MLText -uid 12419,0 -va (VaSet -font "Courier New,8,0" -) -xt "65500,35500,65500,35500" -) -header "" -) -elements [ -] -) -portVis (PortSigDisplay -sTC 0 -sF 0 -) -archFileType "UNKNOWN" -) -*94 (Net +*67 (Net uid 12778,0 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 DOWNTO 0)" +b "(1 to 16)" o 21 suid 91,0 ) @@ -3239,11 +2567,2512 @@ uid 12779,0 va (VaSet isHidden 1 ) -xt "0,0,25100,1200" -st "testOut : std_uLogic_vector(1 DOWNTO 0)" +xt "0,0,21100,1200" +st "testOut : std_uLogic_vector(1 to 16)" ) ) -*95 (Wire +*68 (Blk +uid 14584,0 +shape (Rectangle +uid 14585,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "79000,6000,111000,77000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 14586,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 14587,0 +va (VaSet +) +xt "80950,9200,85050,10400" +st "Cursor" +blo "80950,10200" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 14588,0 +va (VaSet +) +xt "80950,10400,84750,11600" +st "Main2" +blo "80950,11400" +tm "BlkNameMgr" +) +*71 (Text +uid 14589,0 +va (VaSet +) +xt "80950,11600,82850,12800" +st "I4" +blo "80950,12600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 14590,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 14591,0 +text (MLText +uid 14592,0 +va (VaSet +isHidden 1 +) +xt "80950,19200,80950,19200" +) +header "" +) +elements [ +] +) +) +*72 (SaComponent +uid 16930,0 +optionalChildren [ +*73 (CptPort +uid 16922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16923,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,-5375,128000,-4625" +) +tg (CPTG +uid 16924,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16925,0 +va (VaSet +isHidden 1 +) +xt "128000,-5300,130300,-4100" +st "in1" +blo "128000,-4300" +) +s (Text +uid 16939,0 +va (VaSet +isHidden 1 +) +xt "128000,-4100,128000,-4100" +blo "128000,-4100" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*74 (CptPort +uid 16926,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16927,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,-5375,133750,-4625" +) +tg (CPTG +uid 16928,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16929,0 +va (VaSet +isHidden 1 +) +xt "130000,-5300,133000,-4100" +st "out1" +ju 2 +blo "133000,-4300" +) +s (Text +uid 16940,0 +va (VaSet +isHidden 1 +) +xt "133000,-4100,133000,-4100" +ju 2 +blo "133000,-4100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 16931,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,-8000,133000,-2000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 16932,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 16933,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,-6300,132010,-5300" +st "gates" +blo "128910,-5500" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 16934,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,-5300,135810,-4300" +st "bufferUlogic" +blo "128910,-4500" +tm "CptNameMgr" +) +*77 (Text +uid 16935,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,-5300,130510,-4300" +st "I2" +blo "128910,-4500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16936,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16937,0 +text (MLText +uid 16938,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,600,142100,1600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*78 (SaComponent +uid 16941,0 +optionalChildren [ +*79 (CptPort +uid 16950,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16951,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,625,128000,1375" +) +tg (CPTG +uid 16952,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16953,0 +va (VaSet +isHidden 1 +) +xt "128000,700,130300,1900" +st "in1" +blo "128000,1700" +) +s (Text +uid 16954,0 +va (VaSet +isHidden 1 +) +xt "128000,1900,128000,1900" +blo "128000,1900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*80 (CptPort +uid 16955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16956,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,625,133750,1375" +) +tg (CPTG +uid 16957,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16958,0 +va (VaSet +isHidden 1 +) +xt "130000,700,133000,1900" +st "out1" +ju 2 +blo "133000,1700" +) +s (Text +uid 16959,0 +va (VaSet +isHidden 1 +) +xt "133000,1900,133000,1900" +ju 2 +blo "133000,1900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 16942,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,-2000,133000,4000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 16943,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 16944,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,-300,132010,700" +st "gates" +blo "128910,500" +tm "BdLibraryNameMgr" +) +*82 (Text +uid 16945,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,700,135810,1700" +st "bufferUlogic" +blo "128910,1500" +tm "CptNameMgr" +) +*83 (Text +uid 16946,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,700,130510,1700" +st "I5" +blo "128910,1500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16947,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16948,0 +text (MLText +uid 16949,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,6600,142100,7600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*84 (SaComponent +uid 16960,0 +optionalChildren [ +*85 (CptPort +uid 16969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16970,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,6625,128000,7375" +) +tg (CPTG +uid 16971,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16972,0 +va (VaSet +isHidden 1 +) +xt "401400,18100,403700,19300" +st "in1" +blo "401400,19100" +) +s (Text +uid 16973,0 +va (VaSet +isHidden 1 +) +xt "401400,19300,401400,19300" +blo "401400,19300" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*86 (CptPort +uid 16974,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16975,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,6625,133750,7375" +) +tg (CPTG +uid 16976,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16977,0 +va (VaSet +isHidden 1 +) +xt "130000,6700,133000,7900" +st "out1" +ju 2 +blo "133000,7700" +) +s (Text +uid 16978,0 +va (VaSet +isHidden 1 +) +xt "133000,7900,133000,7900" +ju 2 +blo "133000,7900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 16961,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,4000,133000,10000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 16962,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 16963,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,5700,132010,6700" +st "gates" +blo "128910,6500" +tm "BdLibraryNameMgr" +) +*88 (Text +uid 16964,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,6700,135810,7700" +st "bufferUlogic" +blo "128910,7500" +tm "CptNameMgr" +) +*89 (Text +uid 16965,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,6700,130510,7700" +st "I6" +blo "128910,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16966,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16967,0 +text (MLText +uid 16968,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,12600,142100,13600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*90 (SaComponent +uid 16979,0 +optionalChildren [ +*91 (CptPort +uid 16988,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16989,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,12625,128000,13375" +) +tg (CPTG +uid 16990,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16991,0 +va (VaSet +isHidden 1 +) +xt "128000,12700,130300,13900" +st "in1" +blo "128000,13700" +) +s (Text +uid 16992,0 +va (VaSet +isHidden 1 +) +xt "128000,13900,128000,13900" +blo "128000,13900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*92 (CptPort +uid 16993,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16994,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,12625,133750,13375" +) +tg (CPTG +uid 16995,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16996,0 +va (VaSet +isHidden 1 +) +xt "130000,12700,133000,13900" +st "out1" +ju 2 +blo "133000,13700" +) +s (Text +uid 16997,0 +va (VaSet +isHidden 1 +) +xt "133000,13900,133000,13900" +ju 2 +blo "133000,13900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 16980,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,10000,133000,16000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 16981,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 16982,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,11700,132010,12700" +st "gates" +blo "128910,12500" +tm "BdLibraryNameMgr" +) +*94 (Text +uid 16983,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,12700,135810,13700" +st "bufferUlogic" +blo "128910,13500" +tm "CptNameMgr" +) +*95 (Text +uid 16984,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,12700,130510,13700" +st "I7" +blo "128910,13500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16985,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16986,0 +text (MLText +uid 16987,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,18600,142100,19600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*96 (SaComponent +uid 17153,0 +optionalChildren [ +*97 (CptPort +uid 17162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17163,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,18625,128000,19375" +) +tg (CPTG +uid 17164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17165,0 +va (VaSet +isHidden 1 +) +xt "128000,18700,130300,19900" +st "in1" +blo "128000,19700" +) +s (Text +uid 17166,0 +va (VaSet +isHidden 1 +) +xt "128000,19900,128000,19900" +blo "128000,19900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*98 (CptPort +uid 17167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17168,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,18625,133750,19375" +) +tg (CPTG +uid 17169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17170,0 +va (VaSet +isHidden 1 +) +xt "130000,18700,133000,19900" +st "out1" +ju 2 +blo "133000,19700" +) +s (Text +uid 17171,0 +va (VaSet +isHidden 1 +) +xt "133000,19900,133000,19900" +ju 2 +blo "133000,19900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17154,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,16000,133000,22000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17155,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 17156,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,17700,132010,18700" +st "gates" +blo "128910,18500" +tm "BdLibraryNameMgr" +) +*100 (Text +uid 17157,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,18700,135810,19700" +st "bufferUlogic" +blo "128910,19500" +tm "CptNameMgr" +) +*101 (Text +uid 17158,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,18700,130510,19700" +st "I8" +blo "128910,19500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17159,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17160,0 +text (MLText +uid 17161,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,24600,142100,25600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*102 (SaComponent +uid 17172,0 +optionalChildren [ +*103 (CptPort +uid 17181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17182,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,24625,128000,25375" +) +tg (CPTG +uid 17183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17184,0 +va (VaSet +isHidden 1 +) +xt "128000,24700,130300,25900" +st "in1" +blo "128000,25700" +) +s (Text +uid 17185,0 +va (VaSet +isHidden 1 +) +xt "128000,25900,128000,25900" +blo "128000,25900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*104 (CptPort +uid 17186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17187,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,24625,133750,25375" +) +tg (CPTG +uid 17188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17189,0 +va (VaSet +isHidden 1 +) +xt "130000,24700,133000,25900" +st "out1" +ju 2 +blo "133000,25700" +) +s (Text +uid 17190,0 +va (VaSet +isHidden 1 +) +xt "133000,25900,133000,25900" +ju 2 +blo "133000,25900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,22000,133000,28000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17174,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 17175,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,23700,132010,24700" +st "gates" +blo "128910,24500" +tm "BdLibraryNameMgr" +) +*106 (Text +uid 17176,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,24700,135810,25700" +st "bufferUlogic" +blo "128910,25500" +tm "CptNameMgr" +) +*107 (Text +uid 17177,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,24700,130510,25700" +st "I9" +blo "128910,25500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17178,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17179,0 +text (MLText +uid 17180,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,30600,142100,31600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*108 (SaComponent +uid 17191,0 +optionalChildren [ +*109 (CptPort +uid 17200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17201,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,30625,128000,31375" +) +tg (CPTG +uid 17202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17203,0 +va (VaSet +isHidden 1 +) +xt "401400,42100,403700,43300" +st "in1" +blo "401400,43100" +) +s (Text +uid 17204,0 +va (VaSet +isHidden 1 +) +xt "401400,43300,401400,43300" +blo "401400,43300" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*110 (CptPort +uid 17205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17206,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,30625,133750,31375" +) +tg (CPTG +uid 17207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17208,0 +va (VaSet +isHidden 1 +) +xt "130000,30700,133000,31900" +st "out1" +ju 2 +blo "133000,31700" +) +s (Text +uid 17209,0 +va (VaSet +isHidden 1 +) +xt "133000,31900,133000,31900" +ju 2 +blo "133000,31900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17192,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,28000,133000,34000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17193,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 17194,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,29700,132010,30700" +st "gates" +blo "128910,30500" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 17195,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,30700,135810,31700" +st "bufferUlogic" +blo "128910,31500" +tm "CptNameMgr" +) +*113 (Text +uid 17196,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,30700,131110,31700" +st "I10" +blo "128910,31500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17197,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17198,0 +text (MLText +uid 17199,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,36600,142100,37600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 17210,0 +optionalChildren [ +*115 (CptPort +uid 17219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17220,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,36625,128000,37375" +) +tg (CPTG +uid 17221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17222,0 +va (VaSet +isHidden 1 +) +xt "128000,36700,130300,37900" +st "in1" +blo "128000,37700" +) +s (Text +uid 17223,0 +va (VaSet +isHidden 1 +) +xt "128000,37900,128000,37900" +blo "128000,37900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*116 (CptPort +uid 17224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17225,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,36625,133750,37375" +) +tg (CPTG +uid 17226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17227,0 +va (VaSet +isHidden 1 +) +xt "130000,36700,133000,37900" +st "out1" +ju 2 +blo "133000,37700" +) +s (Text +uid 17228,0 +va (VaSet +isHidden 1 +) +xt "133000,37900,133000,37900" +ju 2 +blo "133000,37900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,34000,133000,40000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17212,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*117 (Text +uid 17213,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,35700,132010,36700" +st "gates" +blo "128910,36500" +tm "BdLibraryNameMgr" +) +*118 (Text +uid 17214,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,36700,135810,37700" +st "bufferUlogic" +blo "128910,37500" +tm "CptNameMgr" +) +*119 (Text +uid 17215,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,36700,131110,37700" +st "I11" +blo "128910,37500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17216,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17217,0 +text (MLText +uid 17218,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,42600,142100,43600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*120 (SaComponent +uid 17719,0 +optionalChildren [ +*121 (CptPort +uid 17728,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17729,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,50625,128000,51375" +) +tg (CPTG +uid 17730,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17731,0 +va (VaSet +isHidden 1 +) +xt "128000,50700,130300,51900" +st "in1" +blo "128000,51700" +) +s (Text +uid 17732,0 +va (VaSet +isHidden 1 +) +xt "128000,51900,128000,51900" +blo "128000,51900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*122 (CptPort +uid 17733,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17734,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,50625,133750,51375" +) +tg (CPTG +uid 17735,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17736,0 +va (VaSet +isHidden 1 +) +xt "130000,50700,133000,51900" +st "out1" +ju 2 +blo "133000,51700" +) +s (Text +uid 17737,0 +va (VaSet +isHidden 1 +) +xt "133000,51900,133000,51900" +ju 2 +blo "133000,51900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17720,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,48000,133000,54000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*123 (Text +uid 17722,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,49700,132010,50700" +st "gates" +blo "128910,50500" +tm "BdLibraryNameMgr" +) +*124 (Text +uid 17723,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,50700,135810,51700" +st "bufferUlogic" +blo "128910,51500" +tm "CptNameMgr" +) +*125 (Text +uid 17724,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,50700,131110,51700" +st "I12" +blo "128910,51500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17725,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17726,0 +text (MLText +uid 17727,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,56600,142100,57600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*126 (SaComponent +uid 17752,0 +optionalChildren [ +*127 (CptPort +uid 17761,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17762,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "127250,44625,128000,45375" +) +tg (CPTG +uid 17763,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17764,0 +va (VaSet +isHidden 1 +) +xt "128000,44700,130300,45900" +st "in1" +blo "128000,45700" +) +s (Text +uid 17765,0 +va (VaSet +isHidden 1 +) +xt "128000,45900,128000,45900" +blo "128000,45900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*128 (CptPort +uid 17766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17767,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "133000,44625,133750,45375" +) +tg (CPTG +uid 17768,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17769,0 +va (VaSet +isHidden 1 +) +xt "130000,44700,133000,45900" +st "out1" +ju 2 +blo "133000,45700" +) +s (Text +uid 17770,0 +va (VaSet +isHidden 1 +) +xt "133000,45900,133000,45900" +ju 2 +blo "133000,45900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 17753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "128000,42000,133000,48000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 17754,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 17755,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,43700,132010,44700" +st "gates" +blo "128910,44500" +tm "BdLibraryNameMgr" +) +*130 (Text +uid 17756,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "128910,44700,135810,45700" +st "bufferUlogic" +blo "128910,45500" +tm "CptNameMgr" +) +*131 (Text +uid 17757,0 +va (VaSet +font "Verdana,8,1" +) +xt "128910,44700,131110,45700" +st "I13" +blo "128910,45500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17758,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17759,0 +text (MLText +uid 17760,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "128000,50600,142100,51600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*132 (CommentText +uid 18104,0 +shape (Rectangle +uid 18105,0 +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "143000,66000,153000,69000" +) +oxt "0,0,15000,5000" +text (MLText +uid 18106,0 +va (VaSet +fg "65535,0,0" +) +xt "143200,66200,152300,68600" +st " +side2 = droite +side1 = gauche + +" +tm "CommentText" +wrapOption 3 +visibleHeight 2600 +visibleWidth 9600 +) +) +*133 (Net +uid 18926,0 +lang 11 +decl (Decl +n "LOW" +t "std_uLogic" +o 28 +suid 111,0 +) +declText (MLText +uid 18927,0 +va (VaSet +isHidden 1 +) +xt "0,0,17000,1200" +st "SIGNAL LOW : std_uLogic" +) +) +*134 (SaComponent +uid 18941,0 +optionalChildren [ +*135 (CptPort +uid 18937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18938,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "80625,-9750,81375,-9000" +) +tg (CPTG +uid 18939,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18940,0 +va (VaSet +isHidden 1 +) +xt "80100,-9000,84500,-7800" +st "logic_0" +ju 2 +blo "84500,-8000" +) +s (Text +uid 18950,0 +va (VaSet +) +xt "84500,-7800,84500,-7800" +ju 2 +blo "84500,-7800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_0" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 18942,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,-9000,83000,-3000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 18943,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +uid 18944,0 +va (VaSet +font "Verdana,8,1" +) +xt "77910,-3300,81010,-2300" +st "gates" +blo "77910,-2500" +tm "BdLibraryNameMgr" +) +*137 (Text +uid 18945,0 +va (VaSet +font "Verdana,8,1" +) +xt "77910,-2300,81410,-1300" +st "logic0" +blo "77910,-1500" +tm "CptNameMgr" +) +*138 (Text +uid 18946,0 +va (VaSet +font "Verdana,8,1" +) +xt "77910,-1300,80110,-300" +st "I14" +blo "77910,-500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18947,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18948,0 +text (MLText +uid 18949,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,-400,78000,-400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*139 (SaComponent +uid 18967,0 +optionalChildren [ +*140 (CptPort +uid 18963,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18964,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "98625,-8000,99375,-7250" +) +tg (CPTG +uid 18965,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18966,0 +va (VaSet +isHidden 1 +) +xt "100000,-9000,104400,-7800" +st "logic_1" +blo "100000,-8000" +) +s (Text +uid 18976,0 +va (VaSet +) +xt "100000,-7800,100000,-7800" +blo "100000,-7800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 18968,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "96000,-14000,101000,-8000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 18969,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +uid 18970,0 +va (VaSet +font "Verdana,8,1" +) +xt "95910,-10300,99010,-9300" +st "gates" +blo "95910,-9500" +tm "BdLibraryNameMgr" +) +*142 (Text +uid 18971,0 +va (VaSet +font "Verdana,8,1" +) +xt "95910,-9300,99410,-8300" +st "logic1" +blo "95910,-8500" +tm "CptNameMgr" +) +*143 (Text +uid 18972,0 +va (VaSet +font "Verdana,8,1" +) +xt "95910,-8300,98110,-7300" +st "I15" +blo "95910,-7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18973,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18974,0 +text (MLText +uid 18975,0 +va (VaSet +font "Verdana,8,0" +) +xt "96000,-5400,96000,-5400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*144 (Net +uid 18985,0 +decl (Decl +n "HIGH" +t "std_uLogic" +o 29 +suid 113,0 +) +declText (MLText +uid 18986,0 +va (VaSet +isHidden 1 +) +xt "0,0,17200,1200" +st "SIGNAL HIGH : std_uLogic" +) +) +*145 (Blk +uid 19388,0 +shape (Rectangle +uid 19389,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "119000,57000,136000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 19390,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +uid 19391,0 +va (VaSet +) +xt "124950,63200,129050,64400" +st "Cursor" +blo "124950,64200" +tm "BdLibraryNameMgr" +) +*147 (Text +uid 19392,0 +va (VaSet +) +xt "124950,64400,129250,65600" +st "driver2" +blo "124950,65400" +tm "BlkNameMgr" +) +*148 (Text +uid 19393,0 +va (VaSet +) +xt "124950,65600,127550,66800" +st "I16" +blo "124950,66600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19394,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19395,0 +text (MLText +uid 19396,0 +va (VaSet +isHidden 1 +) +xt "124950,73200,124950,73200" +) +header "" +) +elements [ +] +) +) +*149 (SaComponent +uid 19625,0 +optionalChildren [ +*150 (CptPort +uid 19604,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19605,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "154250,36625,155000,37375" +) +tg (CPTG +uid 19606,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19607,0 +va (VaSet +) +xt "156000,36500,157500,37700" +st "D" +blo "156000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*151 (CptPort +uid 19608,0 +optionalChildren [ +*152 (FFT +pts [ +"155750,43000" +"155000,43375" +"155000,42625" +] +uid 19612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "155000,42625,155750,43375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 19609,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "154250,42625,155000,43375" +) +tg (CPTG +uid 19610,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19611,0 +va (VaSet +) +xt "156000,42600,158800,43800" +st "CLK" +blo "156000,43600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*153 (CptPort +uid 19613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19614,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "157625,45000,158375,45750" +) +tg (CPTG +uid 19615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19616,0 +va (VaSet +) +xt "157000,44000,159800,45200" +st "CLR" +blo "157000,45000" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*154 (CptPort +uid 19617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19618,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "161000,36625,161750,37375" +) +tg (CPTG +uid 19619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19620,0 +va (VaSet +) +xt "158400,36500,160000,37700" +st "Q" +ju 2 +blo "160000,37500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*155 (CptPort +uid 19621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19622,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "154250,38625,155000,39375" +) +tg (CPTG +uid 19623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19624,0 +va (VaSet +) +xt "156000,38500,157400,39700" +st "E" +blo "156000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 19626,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "155000,35000,161000,45000" +) +showPorts 0 +oxt "36000,11000,42000,21000" +ttg (MlTextGroup +uid 19627,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*156 (Text +uid 19628,0 +va (VaSet +font "Verdana,8,1" +) +xt "161600,40700,167600,41700" +st "sequential" +blo "161600,41500" +tm "BdLibraryNameMgr" +) +*157 (Text +uid 19629,0 +va (VaSet +font "Verdana,8,1" +) +xt "161600,41700,164400,42700" +st "DFFE" +blo "161600,42500" +tm "CptNameMgr" +) +*158 (Text +uid 19630,0 +va (VaSet +font "Verdana,8,1" +) +xt "161600,42700,163200,43700" +st "I3" +blo "161600,43500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19631,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19632,0 +text (MLText +uid 19633,0 +va (VaSet +font "Verdana,8,0" +) +xt "162000,45600,176100,46600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*159 (Net +uid 19634,0 +decl (Decl +n "test" +t "std_ulogic" +o 25 +suid 116,0 +) +declText (MLText +uid 19635,0 +va (VaSet +isHidden 1 +) +xt "0,0,16000,1200" +st "SIGNAL test : std_ulogic" +) +) +*160 (Wire uid 2282,0 shape (OrthoPolyLine uid 2283,0 @@ -3281,23 +5110,23 @@ tm "WireNameMgr" ) on &15 ) -*96 (Wire +*161 (Wire uid 2315,0 shape (OrthoPolyLine uid 2316,0 va (VaSet vasetType 3 ) -xt "44000,60000,79250,60000" +xt "44000,60000,79000,60000" pts [ "44000,60000" -"79250,60000" +"79000,60000" ] ) start &16 -end &88 +end &68 sat 32 -eat 32 +eat 1 stc 0 st 0 sf 1 @@ -3319,23 +5148,23 @@ tm "WireNameMgr" ) on &17 ) -*97 (Wire +*162 (Wire uid 3266,0 shape (OrthoPolyLine uid 3267,0 va (VaSet vasetType 3 ) -xt "44000,56000,79250,56000" +xt "44000,56000,79000,56000" pts [ "44000,56000" -"79250,56000" +"79000,56000" ] ) start &19 -end &85 +end &68 sat 32 -eat 32 +eat 1 stc 0 st 0 sf 1 @@ -3357,23 +5186,23 @@ tm "WireNameMgr" ) on &20 ) -*98 (Wire +*163 (Wire uid 3281,0 shape (OrthoPolyLine uid 3282,0 va (VaSet vasetType 3 ) -xt "44000,54000,79250,54000" +xt "44000,54000,79000,54000" pts [ "44000,54000" -"79250,54000" +"79000,54000" ] ) start &21 -end &86 +end &68 sat 32 -eat 32 +eat 1 stc 0 st 0 sf 1 @@ -3395,22 +5224,22 @@ tm "WireNameMgr" ) on &22 ) -*99 (Wire +*164 (Wire uid 4262,0 shape (OrthoPolyLine uid 4263,0 va (VaSet vasetType 3 ) -xt "128750,68000,140000,68000" +xt "136000,68000,140000,68000" pts [ -"128750,68000" +"136000,68000" "140000,68000" ] ) -start &72 +start &145 end &25 -sat 32 +sat 2 eat 32 stc 0 st 0 @@ -3433,22 +5262,22 @@ tm "WireNameMgr" ) on &26 ) -*100 (Wire +*165 (Wire uid 4277,0 shape (OrthoPolyLine uid 4278,0 va (VaSet vasetType 3 ) -xt "128750,66000,140000,66000" +xt "136000,66000,140000,66000" pts [ -"128750,66000" +"136000,66000" "140000,66000" ] ) -start &73 +start &145 end &27 -sat 32 +sat 2 eat 32 stc 0 st 0 @@ -3471,7 +5300,7 @@ tm "WireNameMgr" ) on &28 ) -*101 (Wire +*166 (Wire uid 4535,0 shape (OrthoPolyLine uid 4536,0 @@ -3509,7 +5338,7 @@ tm "WireNameMgr" ) on &30 ) -*102 (Wire +*167 (Wire uid 4550,0 shape (OrthoPolyLine uid 4551,0 @@ -3547,7 +5376,7 @@ tm "WireNameMgr" ) on &32 ) -*103 (Wire +*168 (Wire uid 4565,0 shape (OrthoPolyLine uid 4566,0 @@ -3585,7 +5414,7 @@ tm "WireNameMgr" ) on &34 ) -*104 (Wire +*169 (Wire uid 4580,0 shape (OrthoPolyLine uid 4581,0 @@ -3623,7 +5452,7 @@ tm "WireNameMgr" ) on &36 ) -*105 (Wire +*170 (Wire uid 4595,0 shape (OrthoPolyLine uid 4596,0 @@ -3661,7 +5490,7 @@ tm "WireNameMgr" ) on &38 ) -*106 (Wire +*171 (Wire uid 4978,0 shape (OrthoPolyLine uid 4979,0 @@ -3698,7 +5527,7 @@ tm "WireNameMgr" ) on &1 ) -*107 (Wire +*172 (Wire uid 4986,0 shape (OrthoPolyLine uid 4987,0 @@ -3735,23 +5564,23 @@ tm "WireNameMgr" ) on &2 ) -*108 (Wire +*173 (Wire uid 6102,0 shape (OrthoPolyLine uid 6103,0 va (VaSet vasetType 3 ) -xt "128750,60000,140000,60000" +xt "136000,60000,140000,60000" pts [ -"128750,60000" +"136000,60000" "140000,60000" ] ) -start &69 +start &145 end &23 es 0 -sat 32 +sat 2 eat 32 stc 0 st 0 @@ -3766,15 +5595,15 @@ uid 6105,0 va (VaSet font "Verdana,12,0" ) -xt "131000,58600,137300,60000" +xt "138000,58600,144300,60000" st "motorOn" -blo "131000,59800" +blo "138000,59800" tm "WireNameMgr" ) ) on &24 ) -*109 (Wire +*174 (Wire uid 7310,0 shape (OrthoPolyLine uid 7311,0 @@ -3812,17 +5641,17 @@ tm "WireNameMgr" ) on &40 ) -*110 (Wire +*175 (Wire uid 9951,0 shape (OrthoPolyLine uid 9952,0 va (VaSet vasetType 3 ) -xt "129750,78000,137000,78000" +xt "117750,84000,125000,84000" pts [ -"129750,78000" -"137000,78000" +"117750,84000" +"125000,84000" ] ) end &48 @@ -3841,25 +5670,25 @@ uid 9956,0 va (VaSet font "Verdana,12,0" ) -xt "134000,76600,137500,77900" +xt "122000,82600,125500,83900" st "CS1_n" -blo "134000,77600" +blo "122000,83600" tm "WireNameMgr" ) ) on &43 ) -*111 (Wire +*176 (Wire uid 9959,0 shape (OrthoPolyLine uid 9960,0 va (VaSet vasetType 3 ) -xt "129750,80000,137000,80000" +xt "117750,86000,125000,86000" pts [ -"129750,80000" -"137000,80000" +"117750,86000" +"125000,86000" ] ) end &49 @@ -3878,25 +5707,25 @@ uid 9964,0 va (VaSet font "Verdana,12,0" ) -xt "134000,78600,136100,79900" +xt "122000,84600,124100,85900" st "SCL" -blo "134000,79600" +blo "122000,85600" tm "WireNameMgr" ) ) on &44 ) -*112 (Wire +*177 (Wire uid 9967,0 shape (OrthoPolyLine uid 9968,0 va (VaSet vasetType 3 ) -xt "129750,82000,137000,82000" +xt "117750,88000,125000,88000" pts [ -"129750,82000" -"137000,82000" +"117750,88000" +"125000,88000" ] ) end &50 @@ -3915,25 +5744,25 @@ uid 9972,0 va (VaSet font "Verdana,12,0" ) -xt "135000,80600,136400,81900" +xt "123000,86600,124400,87900" st "SI" -blo "135000,81600" +blo "123000,87600" tm "WireNameMgr" ) ) on &45 ) -*113 (Wire +*178 (Wire uid 9975,0 shape (OrthoPolyLine uid 9976,0 va (VaSet vasetType 3 ) -xt "129750,84000,137000,84000" +xt "117750,90000,125000,90000" pts [ -"129750,84000" -"137000,84000" +"117750,90000" +"125000,90000" ] ) end &51 @@ -3952,25 +5781,25 @@ uid 9980,0 va (VaSet font "Verdana,12,0" ) -xt "135000,82600,136400,83900" +xt "123000,88600,124400,89900" st "A0" -blo "135000,83600" +blo "123000,89600" tm "WireNameMgr" ) ) on &46 ) -*114 (Wire +*179 (Wire uid 9983,0 shape (OrthoPolyLine uid 9984,0 va (VaSet vasetType 3 ) -xt "129750,86000,137000,86000" +xt "117750,92000,125000,92000" pts [ -"129750,86000" -"137000,86000" +"117750,92000" +"125000,92000" ] ) end &52 @@ -3989,15 +5818,15 @@ uid 9988,0 va (VaSet font "Verdana,12,0" ) -xt "134000,84600,137500,85900" +xt "122000,90600,125500,91900" st "RST_n" -blo "134000,85600" +blo "122000,91600" tm "WireNameMgr" ) ) on &47 ) -*115 (Wire +*180 (Wire uid 11487,0 shape (OrthoPolyLine uid 11488,0 @@ -4034,7 +5863,7 @@ tm "WireNameMgr" ) on &2 ) -*116 (Wire +*181 (Wire uid 11497,0 shape (OrthoPolyLine uid 11498,0 @@ -4072,7 +5901,7 @@ tm "WireNameMgr" ) on &1 ) -*117 (Wire +*182 (Wire uid 11519,0 shape (OrthoPolyLine uid 11520,0 @@ -4080,16 +5909,16 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "69000,10000,79250,10000" +xt "69000,10000,79000,10000" pts [ "69000,10000" -"79250,10000" +"79000,10000" ] ) start &53 -end &81 +end &68 sat 2 -eat 32 +eat 1 sty 1 stc 0 st 0 @@ -4112,22 +5941,22 @@ tm "WireNameMgr" ) on &62 ) -*118 (Wire +*183 (Wire uid 11529,0 shape (OrthoPolyLine uid 11530,0 va (VaSet vasetType 3 ) -xt "69000,17000,79250,17000" +xt "69000,17000,79000,17000" pts [ -"79250,17000" +"79000,17000" "69000,17000" ] ) -start &83 +start &68 end &53 -sat 32 +sat 2 eat 1 stc 0 st 0 @@ -4150,7 +5979,7 @@ tm "WireNameMgr" ) on &61 ) -*119 (Wire +*184 (Wire uid 11541,0 shape (OrthoPolyLine uid 11542,0 @@ -4187,7 +6016,7 @@ tm "WireNameMgr" ) on &2 ) -*120 (Wire +*185 (Wire uid 11549,0 shape (OrthoPolyLine uid 11550,0 @@ -4225,7 +6054,7 @@ tm "WireNameMgr" ) on &1 ) -*121 (Wire +*186 (Wire uid 11559,0 shape (OrthoPolyLine uid 11560,0 @@ -4233,18 +6062,17 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "64000,42000,79250,42000" +xt "64000,42000,79000,42000" pts [ "64000,42000" -"79250,42000" +"79000,42000" ] ) start &57 -end &79 +end &68 sat 2 -eat 32 +eat 1 sty 1 -stc 0 st 0 sf 1 si 0 @@ -4257,30 +6085,30 @@ uid 11566,0 va (VaSet font "Verdana,12,0" ) -xt "73000,40600,78000,42000" -st "button" -blo "73000,41800" +xt "67000,40600,77200,42000" +st "button : (3:0)" +blo "67000,41800" tm "WireNameMgr" ) ) on &63 ) -*122 (Wire +*187 (Wire uid 11571,0 shape (OrthoPolyLine uid 11572,0 va (VaSet vasetType 3 ) -xt "64000,48000,79250,48000" +xt "64000,48000,79000,48000" pts [ -"79250,48000" +"79000,48000" "64000,48000" ] ) -start &90 +start &68 end &57 -sat 32 +sat 2 eat 1 stc 0 st 0 @@ -4303,22 +6131,21 @@ tm "WireNameMgr" ) on &64 ) -*123 (Wire +*188 (Wire uid 11589,0 shape (OrthoPolyLine uid 11590,0 va (VaSet vasetType 3 ) -xt "62000,62000,79250,62000" +xt "62000,62000,79000,62000" pts [ -"79250,62000" -"67000,62000" +"79000,62000" "62000,62000" ] ) -start &84 -sat 32 +start &68 +sat 1 eat 16 stc 0 st 0 @@ -4341,22 +6168,21 @@ tm "WireNameMgr" ) on &1 ) -*124 (Wire +*189 (Wire uid 11597,0 shape (OrthoPolyLine uid 11598,0 va (VaSet vasetType 3 ) -xt "62000,61000,79250,61000" +xt "62000,61000,79000,61000" pts [ -"79250,61000" +"79000,61000" "62000,61000" ] ) -start &80 -ss 0 -sat 32 +start &68 +sat 1 eat 16 stc 0 st 0 @@ -4379,7 +6205,7 @@ tm "WireNameMgr" ) on &2 ) -*125 (Wire +*190 (Wire uid 11631,0 shape (OrthoPolyLine uid 11632,0 @@ -4387,16 +6213,16 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "110750,62000,119250,62000" +xt "111000,62000,119000,62000" pts [ -"110750,62000" -"119250,62000" +"111000,62000" +"119000,62000" ] ) -start &82 -end &70 -sat 32 -eat 32 +start &68 +end &145 +sat 2 +eat 1 sty 1 stc 0 st 0 @@ -4419,23 +6245,23 @@ tm "WireNameMgr" ) on &66 ) -*126 (Wire +*191 (Wire uid 11641,0 shape (OrthoPolyLine uid 11642,0 va (VaSet vasetType 3 ) -xt "110750,66000,119250,66000" +xt "111000,66000,119000,66000" pts [ -"110750,66000" -"119250,66000" +"111000,66000" +"119000,66000" ] ) -start &87 -end &74 -sat 32 -eat 32 +start &68 +end &145 +sat 2 +eat 1 stc 0 st 0 sf 1 @@ -4449,30 +6275,29 @@ uid 11648,0 va (VaSet font "Verdana,12,0" ) -xt "113000,64600,117200,66000" -st "SideL" +xt "113000,64600,117100,66000" +st "sideL" blo "113000,65800" tm "WireNameMgr" ) ) on &65 ) -*127 (Wire +*192 (Wire uid 11657,0 shape (OrthoPolyLine uid 11658,0 va (VaSet vasetType 3 ) -xt "112000,71000,119250,71000" +xt "112000,71000,119000,71000" pts [ -"119250,71000" -"112000,71000" +"119000,71000" "112000,71000" ] ) -start &71 -sat 32 +start &145 +sat 1 eat 16 stc 0 st 0 @@ -4495,21 +6320,21 @@ tm "WireNameMgr" ) on &1 ) -*128 (Wire +*193 (Wire uid 11665,0 shape (OrthoPolyLine uid 11666,0 va (VaSet vasetType 3 ) -xt "112000,70000,119250,70000" +xt "112000,70000,119000,70000" pts [ -"119250,70000" +"119000,70000" "112000,70000" ] ) -start &68 -sat 32 +start &145 +sat 1 eat 16 stc 0 st 0 @@ -4532,23 +6357,24 @@ tm "WireNameMgr" ) on &2 ) -*129 (Wire +*194 (Wire uid 12780,0 shape (OrthoPolyLine uid 12781,0 va (VaSet vasetType 3 +lineWidth 2 ) -xt "110750,10000,126000,10000" +xt "152000,19000,163000,19000" pts [ -"110750,10000" -"126000,10000" +"152000,19000" +"163000,19000" ] ) -start &89 end &18 -sat 32 +sat 16 eat 32 +sty 1 stc 0 st 0 si 0 @@ -4561,13 +6387,1061 @@ uid 12783,0 va (VaSet font "Verdana,12,0" ) -xt "112750,8600,118350,10000" +xt "157750,17600,163350,19000" st "testOut" -blo "112750,9800" +blo "157750,18800" tm "WireNameMgr" ) ) -on &94 +on &67 +) +*195 (Wire +uid 16998,0 +shape (OrthoPolyLine +uid 16999,0 +va (VaSet +vasetType 3 +) +xt "121000,-5000,128000,-5000" +pts [ +"121000,-5000" +"128000,-5000" +] +) +end &73 +sat 16 +eat 32 +sl "(15)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17001,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,-7400,128200,-6000" +st "Position(15)" +blo "119000,-6200" +tm "WireNameMgr" +) +) +on &62 +) +*196 (Wire +uid 17022,0 +shape (OrthoPolyLine +uid 17023,0 +va (VaSet +vasetType 3 +) +xt "133000,-5000,140000,-5000" +pts [ +"133000,-5000" +"140000,-5000" +] +) +start &74 +sat 32 +eat 16 +sl "(1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17024,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17025,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,-6400,141200,-5000" +st "testOut(1)" +blo "133000,-5200" +tm "WireNameMgr" +) +) +on &67 +) +*197 (Wire +uid 17028,0 +shape (OrthoPolyLine +uid 17029,0 +va (VaSet +vasetType 3 +) +xt "133000,1000,140000,1000" +pts [ +"133000,1000" +"140000,1000" +] +) +start &80 +sat 32 +eat 16 +sl "(2)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17030,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17031,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,-400,141200,1000" +st "testOut(2)" +blo "133000,800" +tm "WireNameMgr" +) +) +on &67 +) +*198 (Wire +uid 17034,0 +shape (OrthoPolyLine +uid 17035,0 +va (VaSet +vasetType 3 +) +xt "133000,7000,140000,7000" +pts [ +"133000,7000" +"140000,7000" +] +) +start &86 +sat 32 +eat 16 +sl "(3)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17036,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17037,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,5600,141200,7000" +st "testOut(3)" +blo "133000,6800" +tm "WireNameMgr" +) +) +on &67 +) +*199 (Wire +uid 17040,0 +shape (OrthoPolyLine +uid 17041,0 +va (VaSet +vasetType 3 +) +xt "133000,13000,140000,13000" +pts [ +"133000,13000" +"140000,13000" +] +) +start &92 +sat 32 +eat 16 +sl "(4)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17042,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17043,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,11600,141200,13000" +st "testOut(4)" +blo "133000,12800" +tm "WireNameMgr" +) +) +on &67 +) +*200 (Wire +uid 17253,0 +shape (OrthoPolyLine +uid 17254,0 +va (VaSet +vasetType 3 +) +xt "133000,19000,140000,19000" +pts [ +"133000,19000" +"140000,19000" +] +) +start &98 +sat 32 +eat 16 +sl "(5)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17255,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17256,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,17600,141200,19000" +st "testOut(5)" +blo "133000,18800" +tm "WireNameMgr" +) +) +on &67 +) +*201 (Wire +uid 17259,0 +shape (OrthoPolyLine +uid 17260,0 +va (VaSet +vasetType 3 +) +xt "133000,25000,140000,25000" +pts [ +"133000,25000" +"140000,25000" +] +) +start &104 +sat 32 +eat 16 +sl "(6)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17261,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17262,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,23600,141200,25000" +st "testOut(6)" +blo "133000,24800" +tm "WireNameMgr" +) +) +on &67 +) +*202 (Wire +uid 17265,0 +shape (OrthoPolyLine +uid 17266,0 +va (VaSet +vasetType 3 +) +xt "133000,31000,140000,31000" +pts [ +"133000,31000" +"140000,31000" +] +) +start &110 +sat 32 +eat 16 +sl "(7)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17267,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17268,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,29600,141200,31000" +st "testOut(7)" +blo "133000,30800" +tm "WireNameMgr" +) +) +on &67 +) +*203 (Wire +uid 17271,0 +shape (OrthoPolyLine +uid 17272,0 +va (VaSet +vasetType 3 +) +xt "133000,37000,140000,37000" +pts [ +"133000,37000" +"140000,37000" +] +) +start &116 +sat 32 +eat 16 +sl "(8)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17273,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17274,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,35600,141200,37000" +st "testOut(8)" +blo "133000,36800" +tm "WireNameMgr" +) +) +on &67 +) +*204 (Wire +uid 17740,0 +shape (OrthoPolyLine +uid 17741,0 +va (VaSet +vasetType 3 +) +xt "121000,51000,128000,51000" +pts [ +"128000,51000" +"121000,51000" +] +) +start &121 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17744,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17745,0 +va (VaSet +font "Verdana,12,0" +) +xt "122000,49600,126200,51000" +st "side2" +blo "122000,50800" +tm "WireNameMgr" +) +s (Text +uid 18250,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "122000,51000,122000,51000" +blo "122000,51000" +tm "SignalTypeMgr" +) +) +on &28 +) +*205 (Wire +uid 17746,0 +shape (OrthoPolyLine +uid 17747,0 +va (VaSet +vasetType 3 +) +xt "133000,51000,140000,51000" +pts [ +"133000,51000" +"140000,51000" +] +) +start &122 +sat 32 +eat 16 +sl "(15)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17748,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17749,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,49600,142000,51000" +st "testOut(15)" +blo "133000,50800" +tm "WireNameMgr" +) +) +on &67 +) +*206 (Wire +uid 17771,0 +shape (OrthoPolyLine +uid 17772,0 +va (VaSet +vasetType 3 +) +xt "133000,45000,140000,45000" +pts [ +"133000,45000" +"140000,45000" +] +) +start &128 +sat 32 +eat 16 +sl "(16)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17773,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17774,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,43600,142000,45000" +st "testOut(16)" +blo "133000,44800" +tm "WireNameMgr" +) +) +on &67 +) +*207 (Wire +uid 17779,0 +shape (OrthoPolyLine +uid 17780,0 +va (VaSet +vasetType 3 +) +xt "121000,45000,128000,45000" +pts [ +"128000,45000" +"121000,45000" +] +) +start &127 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17783,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17784,0 +va (VaSet +font "Verdana,12,0" +) +xt "122000,43600,126200,45000" +st "side1" +blo "122000,44800" +tm "WireNameMgr" +) +s (Text +uid 18257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "122000,45000,122000,45000" +blo "122000,45000" +tm "SignalTypeMgr" +) +) +on &26 +) +*208 (Wire +uid 18098,0 +shape (OrthoPolyLine +uid 18099,0 +va (VaSet +vasetType 3 +) +xt "121000,37000,128000,37000" +pts [ +"128000,37000" +"121000,37000" +] +) +start &115 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18102,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18103,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,34600,122300,36000" +st "test" +blo "119000,35800" +tm "WireNameMgr" +) +s (Text +uid 18268,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,36000,119000,36000" +blo "119000,36000" +tm "SignalTypeMgr" +) +) +on &159 +) +*209 (Wire +uid 18269,0 +shape (OrthoPolyLine +uid 18270,0 +va (VaSet +vasetType 3 +) +xt "121000,1000,128000,1000" +pts [ +"121000,1000" +"128000,1000" +] +) +end &79 +sat 16 +eat 32 +sl "(14)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18275,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18276,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,-1400,128200,0" +st "Position(14)" +blo "119000,-200" +tm "WireNameMgr" +) +) +on &62 +) +*210 (Wire +uid 18277,0 +shape (OrthoPolyLine +uid 18278,0 +va (VaSet +vasetType 3 +) +xt "121000,25000,128000,25000" +pts [ +"121000,25000" +"128000,25000" +] +) +end &103 +sat 16 +eat 32 +sl "(10)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18284,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,22600,128200,24000" +st "Position(10)" +blo "119000,23800" +tm "WireNameMgr" +) +) +on &62 +) +*211 (Wire +uid 18285,0 +shape (OrthoPolyLine +uid 18286,0 +va (VaSet +vasetType 3 +) +xt "121000,31000,128000,31000" +pts [ +"121000,31000" +"128000,31000" +] +) +end &109 +sat 16 +eat 32 +sl "(9)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18291,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18292,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,28600,127400,30000" +st "Position(9)" +blo "119000,29800" +tm "WireNameMgr" +) +) +on &62 +) +*212 (Wire +uid 18293,0 +shape (OrthoPolyLine +uid 18294,0 +va (VaSet +vasetType 3 +) +xt "121000,7000,128000,7000" +pts [ +"121000,7000" +"128000,7000" +] +) +end &85 +sat 16 +eat 32 +sl "(13)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18299,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18300,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,4600,128200,6000" +st "Position(13)" +blo "119000,5800" +tm "WireNameMgr" +) +) +on &62 +) +*213 (Wire +uid 18301,0 +shape (OrthoPolyLine +uid 18302,0 +va (VaSet +vasetType 3 +) +xt "121000,13000,128000,13000" +pts [ +"121000,13000" +"128000,13000" +] +) +end &91 +sat 16 +eat 32 +sl "(12)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18308,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,10600,128200,12000" +st "Position(12)" +blo "119000,11800" +tm "WireNameMgr" +) +) +on &62 +) +*214 (Wire +uid 18309,0 +shape (OrthoPolyLine +uid 18310,0 +va (VaSet +vasetType 3 +) +xt "121000,19000,128000,19000" +pts [ +"121000,19000" +"128000,19000" +] +) +end &97 +sat 16 +eat 32 +sl "(11)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18315,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18316,0 +va (VaSet +font "Verdana,12,0" +) +xt "119000,16600,128200,18000" +st "Position(11)" +blo "119000,17800" +tm "WireNameMgr" +) +) +on &62 +) +*215 (Wire +uid 18918,0 +shape (OrthoPolyLine +uid 18919,0 +va (VaSet +vasetType 3 +) +xt "81000,-9000,88000,-9000" +pts [ +"81000,-9000" +"88000,-9000" +] +) +start &135 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18924,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18925,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,-10400,86800,-9000" +st "LOW" +blo "83000,-9200" +tm "WireNameMgr" +) +) +on &133 +) +*216 (Wire +uid 18979,0 +shape (OrthoPolyLine +uid 18980,0 +va (VaSet +vasetType 3 +) +xt "99000,-8000,105000,-8000" +pts [ +"99000,-8000" +"105000,-8000" +] +) +start &140 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18983,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18984,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,-9400,105000,-8000" +st "HIGH" +blo "101000,-8200" +tm "WireNameMgr" +) +s (Text +uid 19140,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "101000,-8000,101000,-8000" +blo "101000,-8000" +tm "SignalTypeMgr" +) +) +on &144 +) +*217 (Wire +uid 19638,0 +shape (OrthoPolyLine +uid 19639,0 +va (VaSet +vasetType 3 +) +xt "150000,43000,155000,43000" +pts [ +"155000,43000" +"150000,43000" +] +) +start &151 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19642,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19643,0 +va (VaSet +font "Verdana,12,0" +) +xt "151000,41600,154800,43000" +st "clock" +blo "151000,42800" +tm "WireNameMgr" +) +) +on &2 +) +*218 (Wire +uid 19646,0 +shape (OrthoPolyLine +uid 19647,0 +va (VaSet +vasetType 3 +) +xt "158000,45000,158000,50000" +pts [ +"158000,45000" +"158000,50000" +] +) +start &153 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19650,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19651,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "156600,46100,158000,50200" +st "reset" +blo "157800,50200" +tm "WireNameMgr" +) +) +on &1 +) +*219 (Wire +uid 19654,0 +shape (OrthoPolyLine +uid 19655,0 +va (VaSet +vasetType 3 +) +xt "161000,37000,166000,37000" +pts [ +"161000,37000" +"166000,37000" +] +) +start &154 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19658,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19659,0 +va (VaSet +font "Verdana,12,0" +) +xt "163000,35600,166300,37000" +st "test" +blo "163000,36800" +tm "WireNameMgr" +) +) +on &159 +) +*220 (Wire +uid 19662,0 +shape (OrthoPolyLine +uid 19663,0 +va (VaSet +vasetType 3 +) +xt "150000,37000,155000,37000" +pts [ +"155000,37000" +"150000,37000" +] +) +start &150 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19666,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19667,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,35600,156100,37000" +st "sideL" +blo "152000,36800" +tm "WireNameMgr" +) +) +on &65 +) +*221 (Wire +uid 19670,0 +shape (OrthoPolyLine +uid 19671,0 +va (VaSet +vasetType 3 +) +xt "150000,39000,155000,39000" +pts [ +"155000,39000" +"150000,39000" +] +) +start &155 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19674,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19675,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,37600,158300,39000" +st "motorOn" +blo "152000,38800" +tm "WireNameMgr" +) +) +on &24 ) ] bg "65535,65535,65535" @@ -4581,11 +7455,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *130 (PackageList +packageList *222 (PackageList uid 42,0 stg "VerticalLayoutStrategy" textVec [ -*131 (Text +*223 (Text uid 573,0 va (VaSet font "Verdana,8,1" @@ -4594,14 +7468,16 @@ xt "24000,-12000,30500,-11100" st "Package List" blo "24000,-11300" ) -*132 (MLText +*224 (MLText uid 574,0 va (VaSet ) -xt "24000,-11000,41500,-7400" +xt "24000,-11100,41500,-5100" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -4610,7 +7486,7 @@ compDirBlock (MlTextGroup uid 45,0 stg "VerticalLayoutStrategy" textVec [ -*133 (Text +*225 (Text uid 46,0 va (VaSet isHidden 1 @@ -4620,7 +7496,7 @@ xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) -*134 (Text +*226 (Text uid 47,0 va (VaSet isHidden 1 @@ -4630,7 +7506,7 @@ xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) -*135 (MLText +*227 (MLText uid 48,0 va (VaSet isHidden 1 @@ -4640,7 +7516,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*136 (Text +*228 (Text uid 49,0 va (VaSet isHidden 1 @@ -4650,7 +7526,7 @@ xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) -*137 (MLText +*229 (MLText uid 50,0 va (VaSet isHidden 1 @@ -4658,7 +7534,7 @@ isHidden 1 xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*138 (Text +*230 (Text uid 51,0 va (VaSet isHidden 1 @@ -4668,7 +7544,7 @@ xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) -*139 (MLText +*231 (MLText uid 52,0 va (VaSet isHidden 1 @@ -4679,9 +7555,9 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "26700,1700,153673,79688" -cachedDiagramExtent "-17000,-23800,171000,152000" +windowSize "0,14,1921,1080" +viewArea "-12361,-17733,182842,90418" +cachedDiagramExtent "-17000,-23800,403700,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," fileName "\\\\EIV\\a309_hplj4050.electro.eiv" @@ -4706,8 +7582,8 @@ exportedDirectories [ boundaryWidth 0 ) hasePageBreakOrigin 1 -pageBreakOrigin "24000,-12000" -lastUid 14054,0 +pageBreakOrigin "24000,-111000" +lastUid 19677,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4795,7 +7671,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*140 (Text +*232 (Text va (VaSet ) xt "2100,3000,6700,4200" @@ -4803,7 +7679,7 @@ st "" blo "2100,4000" tm "BdLibraryNameMgr" ) -*141 (Text +*233 (Text va (VaSet ) xt "2100,4200,6200,5400" @@ -4811,7 +7687,7 @@ st "" blo "2100,5200" tm "BlkNameMgr" ) -*142 (Text +*234 (Text va (VaSet ) xt "2100,5400,3300,6600" @@ -4850,21 +7726,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*143 (Text +*235 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*144 (Text +*236 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*145 (Text +*237 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -4908,7 +7784,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*146 (Text +*238 (Text va (VaSet ) xt "900,3000,3200,4000" @@ -4916,7 +7792,7 @@ st "Library" blo "900,3800" tm "BdLibraryNameMgr" ) -*147 (Text +*239 (Text va (VaSet ) xt "900,4000,6400,5000" @@ -4924,7 +7800,7 @@ st "SaComponent" blo "900,4800" tm "CptNameMgr" ) -*148 (Text +*240 (Text va (VaSet ) xt "900,5000,1500,6000" @@ -4962,21 +7838,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*149 (Text +*241 (Text va (VaSet ) xt "400,3000,2700,4000" st "Library" blo "400,3800" ) -*150 (Text +*242 (Text va (VaSet ) xt "400,4000,6500,5000" st "VhdlComponent" blo "400,4800" ) -*151 (Text +*243 (Text va (VaSet ) xt "400,5000,1000,6000" @@ -5016,21 +7892,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*152 (Text +*244 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*153 (Text +*245 (Text va (VaSet ) xt "-100,4000,7000,5000" st "VerilogComponent" blo "-100,4800" ) -*154 (Text +*246 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -5068,7 +7944,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*155 (Text +*247 (Text va (VaSet ) xt "3300,3700,4500,4700" @@ -5076,7 +7952,7 @@ st "eb1" blo "3300,4500" tm "HdlTextNameMgr" ) -*156 (Text +*248 (Text va (VaSet ) xt "3300,4700,3700,5700" @@ -5506,7 +8382,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*157 (Text +*249 (Text va (VaSet font "Verdana,8,1" ) @@ -5514,7 +8390,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*158 (MLText +*250 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5566,7 +8442,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*159 (Text +*251 (Text va (VaSet font "Verdana,8,1" ) @@ -5574,7 +8450,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*160 (MLText +*252 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5682,11 +8558,12 @@ preUserText (MLText uid 5,0 va (VaSet ) -xt "26000,-3800,67100,1000" +xt "26000,-3800,67100,2200" st "constant positionBitNb: positive := 18; constant relativePositionBitNb: positive := pwmBitNb + slopeShiftBitNb + 1; constant minAmplitude: positive := integer(0.25 * 2.0**pwmBitNb); -constant lcdAsciiBitNb: positive := 7;" +constant lcdAsciiBitNb: positive := 7; +constant asciiBitNb: positive := 7;" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text @@ -5720,68 +8597,70 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 92,0 +suid 121,0 usingSuid 1 -emptyRow *161 (LEmptyRow +emptyRow *253 (LEmptyRow ) uid 5714,0 optionalChildren [ -*162 (RefLabelRowHdr +*254 (RefLabelRowHdr ) -*163 (TitleRowHdr +*255 (TitleRowHdr ) -*164 (FilterRowHdr +*256 (FilterRowHdr ) -*165 (RefLabelColHdr +*257 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*166 (RowExpandColHdr +*258 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*167 (GroupColHdr +*259 (GroupColHdr tm "GroupColHdrMgr" ) -*168 (NameColHdr +*260 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*169 (ModeColHdr +*261 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*170 (TypeColHdr +*262 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*171 (BoundsColHdr +*263 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*172 (InitColHdr +*264 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*173 (EolColHdr +*265 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*174 (LeafLogPort +*266 (LeafLogPort port (LogicalPort +lang 11 decl (Decl n "reset" -t "std_uLogic" +t "std_ulogic" o 8 suid 1,0 ) ) uid 5659,0 ) -*175 (LeafLogPort +*267 (LeafLogPort port (LogicalPort +lang 11 decl (Decl n "clock" -t "std_uLogic" +t "std_ulogic" o 2 suid 2,0 ) ) uid 5661,0 ) -*176 (LeafLogPort +*268 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -5792,7 +8671,7 @@ suid 3,0 ) uid 5663,0 ) -*177 (LeafLogPort +*269 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -5803,7 +8682,7 @@ suid 4,0 ) uid 5665,0 ) -*178 (LeafLogPort +*270 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -5814,7 +8693,7 @@ suid 6,0 ) uid 5669,0 ) -*179 (LeafLogPort +*271 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -5825,7 +8704,7 @@ suid 7,0 ) uid 5671,0 ) -*180 (LeafLogPort +*272 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5837,7 +8716,7 @@ suid 10,0 ) uid 5677,0 ) -*181 (LeafLogPort +*273 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5849,7 +8728,7 @@ suid 12,0 ) uid 5681,0 ) -*182 (LeafLogPort +*274 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5861,7 +8740,7 @@ suid 13,0 ) uid 5683,0 ) -*183 (LeafLogPort +*275 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -5872,7 +8751,7 @@ suid 14,0 ) uid 5685,0 ) -*184 (LeafLogPort +*276 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -5883,7 +8762,7 @@ suid 15,0 ) uid 5687,0 ) -*185 (LeafLogPort +*277 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -5894,7 +8773,7 @@ suid 16,0 ) uid 5689,0 ) -*186 (LeafLogPort +*278 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -5905,7 +8784,7 @@ suid 17,0 ) uid 5691,0 ) -*187 (LeafLogPort +*279 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -5916,7 +8795,7 @@ suid 18,0 ) uid 5693,0 ) -*188 (LeafLogPort +*280 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5928,7 +8807,7 @@ suid 47,0 ) uid 7302,0 ) -*189 (LeafLogPort +*281 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5940,7 +8819,7 @@ suid 68,0 ) uid 10024,0 ) -*190 (LeafLogPort +*282 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5952,7 +8831,7 @@ suid 69,0 ) uid 10026,0 ) -*191 (LeafLogPort +*283 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5964,7 +8843,7 @@ suid 70,0 ) uid 10028,0 ) -*192 (LeafLogPort +*284 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5976,7 +8855,7 @@ suid 71,0 ) uid 10030,0 ) -*193 (LeafLogPort +*285 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5988,7 +8867,7 @@ suid 72,0 ) uid 10032,0 ) -*194 (LeafLogPort +*286 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6001,7 +8880,7 @@ suid 78,0 ) uid 11581,0 ) -*195 (LeafLogPort +*287 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6015,7 +8894,7 @@ suid 79,0 ) uid 11583,0 ) -*196 (LeafLogPort +*288 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6029,7 +8908,7 @@ suid 81,0 ) uid 11585,0 ) -*197 (LeafLogPort +*289 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6042,12 +8921,11 @@ suid 83,0 ) uid 11587,0 ) -*198 (LeafLogPort +*290 (LeafLogPort port (LogicalPort -lang 11 m 4 decl (Decl -n "SideL" +n "sideL" t "std_ulogic" o 25 suid 86,0 @@ -6055,7 +8933,7 @@ suid 86,0 ) uid 11653,0 ) -*199 (LeafLogPort +*291 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6069,19 +8947,56 @@ suid 87,0 ) uid 11655,0 ) -*200 (LeafLogPort +*292 (LeafLogPort port (LogicalPort m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 DOWNTO 0)" +b "(1 to 16)" o 21 suid 91,0 ) ) uid 12784,0 ) +*293 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "LOW" +t "std_uLogic" +o 28 +suid 111,0 +) +) +uid 18951,0 +) +*294 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "HIGH" +t "std_uLogic" +o 29 +suid 113,0 +) +) +uid 18987,0 +) +*295 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "test" +t "std_ulogic" +o 25 +suid 116,0 +) +) +uid 19676,0 +) ] ) pdm (PhysicalDM @@ -6089,7 +9004,7 @@ displayShortBounds 1 editShortBounds 1 uid 5727,0 optionalChildren [ -*201 (Sheet +*296 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6106,194 +9021,212 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *202 (MRCItem -litem &161 -pos 27 +emptyMRCItem *297 (MRCItem +litem &253 +pos 30 dimension 20 ) uid 5729,0 optionalChildren [ -*203 (MRCItem -litem &162 +*298 (MRCItem +litem &254 pos 0 dimension 20 uid 5730,0 ) -*204 (MRCItem -litem &163 +*299 (MRCItem +litem &255 pos 1 dimension 23 uid 5731,0 ) -*205 (MRCItem -litem &164 +*300 (MRCItem +litem &256 pos 2 hidden 1 dimension 20 uid 5732,0 ) -*206 (MRCItem -litem &174 +*301 (MRCItem +litem &266 pos 0 dimension 20 uid 5660,0 ) -*207 (MRCItem -litem &175 +*302 (MRCItem +litem &267 pos 1 dimension 20 uid 5662,0 ) -*208 (MRCItem -litem &176 +*303 (MRCItem +litem &268 pos 2 dimension 20 uid 5664,0 ) -*209 (MRCItem -litem &177 +*304 (MRCItem +litem &269 pos 3 dimension 20 uid 5666,0 ) -*210 (MRCItem -litem &178 +*305 (MRCItem +litem &270 pos 4 dimension 20 uid 5670,0 ) -*211 (MRCItem -litem &179 +*306 (MRCItem +litem &271 pos 5 dimension 20 uid 5672,0 ) -*212 (MRCItem -litem &180 +*307 (MRCItem +litem &272 pos 6 dimension 20 uid 5678,0 ) -*213 (MRCItem -litem &181 +*308 (MRCItem +litem &273 pos 7 dimension 20 uid 5682,0 ) -*214 (MRCItem -litem &182 +*309 (MRCItem +litem &274 pos 8 dimension 20 uid 5684,0 ) -*215 (MRCItem -litem &183 +*310 (MRCItem +litem &275 pos 9 dimension 20 uid 5686,0 ) -*216 (MRCItem -litem &184 +*311 (MRCItem +litem &276 pos 10 dimension 20 uid 5688,0 ) -*217 (MRCItem -litem &185 +*312 (MRCItem +litem &277 pos 11 dimension 20 uid 5690,0 ) -*218 (MRCItem -litem &186 +*313 (MRCItem +litem &278 pos 12 dimension 20 uid 5692,0 ) -*219 (MRCItem -litem &187 +*314 (MRCItem +litem &279 pos 13 dimension 20 uid 5694,0 ) -*220 (MRCItem -litem &188 +*315 (MRCItem +litem &280 pos 14 dimension 20 uid 7301,0 ) -*221 (MRCItem -litem &189 +*316 (MRCItem +litem &281 pos 15 dimension 20 uid 10025,0 ) -*222 (MRCItem -litem &190 +*317 (MRCItem +litem &282 pos 16 dimension 20 uid 10027,0 ) -*223 (MRCItem -litem &191 +*318 (MRCItem +litem &283 pos 17 dimension 20 uid 10029,0 ) -*224 (MRCItem -litem &192 +*319 (MRCItem +litem &284 pos 18 dimension 20 uid 10031,0 ) -*225 (MRCItem -litem &193 +*320 (MRCItem +litem &285 pos 19 dimension 20 uid 10033,0 ) -*226 (MRCItem -litem &194 +*321 (MRCItem +litem &286 pos 21 dimension 20 uid 11582,0 ) -*227 (MRCItem -litem &195 +*322 (MRCItem +litem &287 pos 22 dimension 20 uid 11584,0 ) -*228 (MRCItem -litem &196 +*323 (MRCItem +litem &288 pos 23 dimension 20 uid 11586,0 ) -*229 (MRCItem -litem &197 +*324 (MRCItem +litem &289 pos 24 dimension 20 uid 11588,0 ) -*230 (MRCItem -litem &198 +*325 (MRCItem +litem &290 pos 25 dimension 20 uid 11654,0 ) -*231 (MRCItem -litem &199 +*326 (MRCItem +litem &291 pos 26 dimension 20 uid 11656,0 ) -*232 (MRCItem -litem &200 +*327 (MRCItem +litem &292 pos 20 dimension 20 uid 12785,0 ) +*328 (MRCItem +litem &293 +pos 27 +dimension 20 +uid 18952,0 +) +*329 (MRCItem +litem &294 +pos 28 +dimension 20 +uid 18988,0 +) +*330 (MRCItem +litem &295 +pos 29 +dimension 20 +uid 19677,0 +) ] ) sheetCol (SheetCol @@ -6305,50 +9238,50 @@ textAngle 90 ) uid 5733,0 optionalChildren [ -*233 (MRCItem -litem &165 +*331 (MRCItem +litem &257 pos 0 dimension 20 uid 5734,0 ) -*234 (MRCItem -litem &167 +*332 (MRCItem +litem &259 pos 1 dimension 50 uid 5735,0 ) -*235 (MRCItem -litem &168 +*333 (MRCItem +litem &260 pos 2 dimension 100 uid 5736,0 ) -*236 (MRCItem -litem &169 +*334 (MRCItem +litem &261 pos 3 dimension 50 uid 5737,0 ) -*237 (MRCItem -litem &170 +*335 (MRCItem +litem &262 pos 4 dimension 100 uid 5738,0 ) -*238 (MRCItem -litem &171 +*336 (MRCItem +litem &263 pos 5 dimension 100 uid 5739,0 ) -*239 (MRCItem -litem &172 +*337 (MRCItem +litem &264 pos 6 dimension 50 uid 5740,0 ) -*240 (MRCItem -litem &173 +*338 (MRCItem +litem &265 pos 7 dimension 80 uid 5741,0 @@ -6368,41 +9301,41 @@ uid 5713,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *241 (LEmptyRow +emptyRow *339 (LEmptyRow ) uid 5743,0 optionalChildren [ -*242 (RefLabelRowHdr +*340 (RefLabelRowHdr ) -*243 (TitleRowHdr +*341 (TitleRowHdr ) -*244 (FilterRowHdr +*342 (FilterRowHdr ) -*245 (RefLabelColHdr +*343 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*246 (RowExpandColHdr +*344 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*247 (GroupColHdr +*345 (GroupColHdr tm "GroupColHdrMgr" ) -*248 (NameColHdr +*346 (NameColHdr tm "GenericNameColHdrMgr" ) -*249 (TypeColHdr +*347 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*250 (InitColHdr +*348 (InitColHdr tm "GenericValueColHdrMgr" ) -*251 (PragmaColHdr +*349 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*252 (EolColHdr +*350 (EolColHdr tm "GenericEolColHdrMgr" ) -*253 (LogGeneric +*351 (LogGeneric generic (GiElement name "position1" type "positive" @@ -6410,7 +9343,7 @@ value "32000" ) uid 7215,0 ) -*254 (LogGeneric +*352 (LogGeneric generic (GiElement name "position2" type "positive" @@ -6418,7 +9351,7 @@ value "64000" ) uid 7217,0 ) -*255 (LogGeneric +*353 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -6426,7 +9359,7 @@ value "16" ) uid 7816,0 ) -*256 (LogGeneric +*354 (LogGeneric generic (GiElement name "position0" type "positive" @@ -6434,7 +9367,7 @@ value "128" ) uid 8607,0 ) -*257 (LogGeneric +*355 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -6442,7 +9375,7 @@ value "6" ) uid 10209,0 ) -*258 (LogGeneric +*356 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -6455,7 +9388,7 @@ uid 10538,0 pdm (PhysicalDM uid 5755,0 optionalChildren [ -*259 (Sheet +*357 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6472,64 +9405,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *260 (MRCItem -litem &241 +emptyMRCItem *358 (MRCItem +litem &339 pos 6 dimension 20 ) uid 5757,0 optionalChildren [ -*261 (MRCItem -litem &242 +*359 (MRCItem +litem &340 pos 0 dimension 20 uid 5758,0 ) -*262 (MRCItem -litem &243 +*360 (MRCItem +litem &341 pos 1 dimension 23 uid 5759,0 ) -*263 (MRCItem -litem &244 +*361 (MRCItem +litem &342 pos 2 hidden 1 dimension 20 uid 5760,0 ) -*264 (MRCItem -litem &253 +*362 (MRCItem +litem &351 pos 1 dimension 20 uid 7214,0 ) -*265 (MRCItem -litem &254 +*363 (MRCItem +litem &352 pos 2 dimension 20 uid 7216,0 ) -*266 (MRCItem -litem &255 +*364 (MRCItem +litem &353 pos 5 dimension 20 uid 7815,0 ) -*267 (MRCItem -litem &256 +*365 (MRCItem +litem &354 pos 0 dimension 20 uid 8606,0 ) -*268 (MRCItem -litem &257 +*366 (MRCItem +litem &355 pos 3 dimension 20 uid 10208,0 ) -*269 (MRCItem -litem &258 +*367 (MRCItem +litem &356 pos 4 dimension 20 uid 10537,0 @@ -6545,44 +9478,44 @@ textAngle 90 ) uid 5761,0 optionalChildren [ -*270 (MRCItem -litem &245 +*368 (MRCItem +litem &343 pos 0 dimension 20 uid 5762,0 ) -*271 (MRCItem -litem &247 +*369 (MRCItem +litem &345 pos 1 dimension 50 uid 5763,0 ) -*272 (MRCItem -litem &248 +*370 (MRCItem +litem &346 pos 2 dimension 100 uid 5764,0 ) -*273 (MRCItem -litem &249 +*371 (MRCItem +litem &347 pos 3 dimension 100 uid 5765,0 ) -*274 (MRCItem -litem &250 +*372 (MRCItem +litem &348 pos 4 dimension 50 uid 5766,0 ) -*275 (MRCItem -litem &251 +*373 (MRCItem +litem &349 pos 5 dimension 50 uid 5767,0 ) -*276 (MRCItem -litem &252 +*374 (MRCItem +litem &350 pos 6 dimension 80 uid 5768,0 diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb index 851a19b..32e0c6a 100644 --- a/Cursor/hds/cursor@circuit/symbol.sb +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -86,9 +86,10 @@ uid 329,0 ) *7 (LogPort port (LogicalPort +lang 11 decl (Decl n "reset" -t "std_uLogic" +t "std_ulogic" o 8 suid 2,0 ) @@ -97,9 +98,10 @@ uid 330,0 ) *8 (LogPort port (LogicalPort +lang 11 decl (Decl n "clock" -t "std_uLogic" +t "std_ulogic" o 2 suid 1,0 ) @@ -295,7 +297,7 @@ m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 DOWNTO 0)" +b "(1 to 16)" o 21 suid 2022,0 ) @@ -793,23 +795,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -829,27 +831,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "designName" @@ -877,11 +879,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -889,11 +891,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "14:54:18" +value "17:47:44" ) (vvPair variable "group" @@ -901,7 +903,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -933,7 +935,7 @@ value "D:\\Users\\Syslo\\Chronometer\\Synthesis" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -941,19 +943,19 @@ value "cursorCircuit" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" ) (vvPair variable "package_name" @@ -1029,7 +1031,7 @@ value "symbol" ) (vvPair variable "time" -value "14:54:18" +value "17:47:44" ) (vvPair variable "unit" @@ -1037,7 +1039,7 @@ value "cursorCircuit" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -1049,11 +1051,11 @@ value "symbol" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -1089,15 +1091,6 @@ st "clock" blo "41000,30500" tm "CptPortNameMgr" ) -s (Text -uid 717,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,30700,41000,30700" -blo "41000,30700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 56,0 @@ -1105,12 +1098,14 @@ va (VaSet font "Courier New,8,0" ) xt "2000,12700,19000,13500" -st "clock : IN std_uLogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "clock" -t "std_uLogic" +t "std_ulogic" o 2 suid 1,0 ) @@ -1142,15 +1137,6 @@ st "reset" blo "41000,32500" tm "CptPortNameMgr" ) -s (Text -uid 718,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,32700,41000,32700" -blo "41000,32700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 66,0 @@ -1158,12 +1144,14 @@ va (VaSet font "Courier New,8,0" ) xt "2000,17500,19000,18300" -st "reset : IN std_uLogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort +lang 11 decl (Decl n "reset" -t "std_uLogic" +t "std_ulogic" o 8 suid 2,0 ) @@ -1196,16 +1184,6 @@ ju 2 blo "55000,8600" tm "CptPortNameMgr" ) -s (Text -uid 719,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,8800,55000,8800" -ju 2 -blo "55000,8800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 109,0 @@ -1213,7 +1191,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,26300,19000,27100" -st "side1 : OUT std_uLogic ;" +st "side1 : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -1251,15 +1230,6 @@ st "restart" blo "41000,6500" tm "CptPortNameMgr" ) -s (Text -uid 720,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,6700,41000,6700" -blo "41000,6700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 135,0 @@ -1267,7 +1237,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,18300,19000,19100" -st "restart : IN std_uLogic ;" +st "restart : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1304,15 +1275,6 @@ st "go2" blo "41000,10500" tm "CptPortNameMgr" ) -s (Text -uid 721,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,10700,41000,10700" -blo "41000,10700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 178,0 @@ -1320,7 +1282,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,16700,19000,17500" -st "go2 : IN std_uLogic ;" +st "go2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1358,16 +1321,6 @@ ju 2 blo "55000,14600" tm "CptPortNameMgr" ) -s (Text -uid 722,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,14800,55000,14800" -ju 2 -blo "55000,14800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 198,0 @@ -1375,7 +1328,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19100,19000,19900" -st "sensor1 : IN std_uLogic ;" +st "sensor1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1412,15 +1366,6 @@ st "testMode" blo "41000,28500" tm "CptPortNameMgr" ) -s (Text -uid 723,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,28700,41000,28700" -blo "41000,28700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 204,0 @@ -1428,7 +1373,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,20700,19000,21500" -st "testMode : IN std_uLogic ;" +st "testMode : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1465,15 +1411,6 @@ st "go1" blo "41000,8500" tm "CptPortNameMgr" ) -s (Text -uid 725,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,8700,41000,8700" -blo "41000,8700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 214,0 @@ -1481,7 +1418,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15900,19000,16700" -st "go1 : IN std_uLogic ;" +st "go1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1519,16 +1457,6 @@ ju 2 blo "55000,10600" tm "CptPortNameMgr" ) -s (Text -uid 726,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,10800,55000,10800" -ju 2 -blo "55000,10800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 219,0 @@ -1536,7 +1464,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,27100,19000,27900" -st "side2 : OUT std_uLogic ;" +st "side2 : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -1575,16 +1504,6 @@ ju 2 blo "55000,16500" tm "CptPortNameMgr" ) -s (Text -uid 727,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,16700,55000,16700" -ju 2 -blo "55000,16700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 224,0 @@ -1592,7 +1511,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19900,19000,20700" -st "sensor2 : IN std_uLogic ;" +st "sensor2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1630,16 +1550,6 @@ ju 2 blo "55000,6600" tm "CptPortNameMgr" ) -s (Text -uid 728,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,6800,55000,6800" -ju 2 -blo "55000,6800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 229,0 @@ -1647,7 +1557,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,25500,19000,26300" -st "motorOn : OUT std_uLogic ;" +st "motorOn : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -1686,16 +1597,6 @@ ju 2 blo "55000,20600" tm "CptPortNameMgr" ) -s (Text -uid 729,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,20800,55000,20800" -ju 2 -blo "55000,20800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 234,0 @@ -1703,7 +1604,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,13500,19000,14300" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1741,16 +1643,6 @@ ju 2 blo "55000,22600" tm "CptPortNameMgr" ) -s (Text -uid 730,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,22800,55000,22800" -ju 2 -blo "55000,22800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 239,0 @@ -1758,7 +1650,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,14300,19000,15100" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1796,16 +1689,6 @@ ju 2 blo "55000,24600" tm "CptPortNameMgr" ) -s (Text -uid 731,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,24800,55000,24800" -ju 2 -blo "55000,24800" -tm "CptPortTypeMgr" -) ) dt (MLText uid 244,0 @@ -1813,7 +1696,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15100,19000,15900" -st "encoderI : IN std_uLogic ;" +st "encoderI : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1850,15 +1734,6 @@ st "button4" blo "41000,12500" tm "CptPortNameMgr" ) -s (Text -uid 732,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,12700,41000,12700" -blo "41000,12700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 249,0 @@ -1866,7 +1741,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,11900,19000,12700" -st "button4 : IN std_ulogic ;" +st "button4 : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -1904,15 +1780,6 @@ st "CS1_n" blo "41000,16500" tm "CptPortNameMgr" ) -s (Text -uid 772,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,16700,41000,16700" -blo "41000,16700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 773,0 @@ -1920,7 +1787,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,22300,19000,23100" -st "CS1_n : OUT std_ulogic ;" +st "CS1_n : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -1958,15 +1826,6 @@ st "SCL" blo "41000,18500" tm "CptPortNameMgr" ) -s (Text -uid 778,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,18700,41000,18700" -blo "41000,18700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 779,0 @@ -1974,7 +1833,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23900,19000,24700" -st "SCL : OUT std_ulogic ;" +st "SCL : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2012,15 +1872,6 @@ st "SI" blo "41000,20500" tm "CptPortNameMgr" ) -s (Text -uid 784,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,20700,41000,20700" -blo "41000,20700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 785,0 @@ -2028,7 +1879,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,24700,19000,25500" -st "SI : OUT std_ulogic ;" +st "SI : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2066,15 +1918,6 @@ st "A0" blo "41000,22500" tm "CptPortNameMgr" ) -s (Text -uid 790,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,22700,41000,22700" -blo "41000,22700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 791,0 @@ -2082,7 +1925,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,21500,19000,22300" -st "A0 : OUT std_ulogic ;" +st "A0 : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2120,15 +1964,6 @@ st "RST_n" blo "41000,24500" tm "CptPortNameMgr" ) -s (Text -uid 796,0 -va (VaSet -font "Verdana,12,0" -) -xt "41000,24700,41000,24700" -blo "41000,24700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 797,0 @@ -2136,7 +1971,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23100,19000,23900" -st "RST_n : OUT std_ulogic ;" +st "RST_n : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2153,12 +1989,11 @@ uid 942,0 ps "OnEdgeStrategy" shape (Triangle uid 943,0 -ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "56000,25625,56750,26375" +xt "47625,1250,48375,2000" ) tg (CPTG uid 944,0 @@ -2166,40 +2001,32 @@ ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 945,0 +ro 270 va (VaSet font "Verdana,12,0" ) -xt "49400,25300,55000,26700" +xt "47300,3000,48700,8600" st "testOut" ju 2 -blo "55000,26500" +blo "48500,3000" tm "CptPortNameMgr" ) -s (Text -uid 946,0 -va (VaSet -font "Verdana,12,0" -) -xt "55000,26700,55000,26700" -ju 2 -blo "55000,26700" -tm "CptPortTypeMgr" -) ) dt (MLText uid 947,0 va (VaSet font "Courier New,8,0" ) -xt "2000,27900,28000,28700" -st "testOut : OUT std_uLogic_vector (1 DOWNTO 0)" +xt "2000,27900,26500,28700" +st "testOut : OUT std_uLogic_vector (1 to 16) +" ) thePort (LogicalPort m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 DOWNTO 0)" +b "(1 to 16)" o 21 suid 2022,0 ) @@ -2207,7 +2034,7 @@ suid 2022,0 ) ] shape (Rectangle -uid 9,0 +uid 1178,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -2216,7 +2043,6 @@ lineWidth 2 ) xt "40000,2000,56000,34000" ) -oxt "15000,6000,47000,26000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" @@ -2301,8 +2127,8 @@ sTC 0 sT 1 ) portVis (PortSigDisplay -disp 1 sTC 0 +sT 1 ) ) *128 (Grouping @@ -2945,7 +2771,7 @@ xt "0,9900,0,9900" tm "SyDeclarativeTextMgr" ) ) -lastUid 1108,0 +lastUid 1201,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/Cursor/hds/driver2/interface b/Cursor/hds/driver2/interface new file mode 100644 index 0000000..449ff7f --- /dev/null +++ b/Cursor/hds/driver2/interface @@ -0,0 +1,1710 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 42,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 36,0 +) +) +uid 618,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 37,0 +) +) +uid 620,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 38,0 +) +) +uid 622,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 39,0 +) +) +uid 624,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 40,0 +) +) +uid 626,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 41,0 +) +) +uid 628,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "sideL" +t "std_ulogic" +o 4 +suid 42,0 +) +) +uid 630,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 619,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 621,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 623,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 625,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 627,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 629,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 631,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 153,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 154,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 157,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 158,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 160,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 161,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 162,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "driver2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "20:03:26" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "driver2" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\interface" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "20:03:26" +) +(vvPair +variable "unit" +value "driver2" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 584,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,18625,15000,19375" +) +tg (CPTG +uid 585,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 586,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,18300,19800,19700" +st "clock" +blo "16000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 587,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 36,0 +) +) +) +*67 (CptPort +uid 588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,8625,32750,9375" +) +tg (CPTG +uid 590,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 591,0 +va (VaSet +font "Verdana,12,0" +) +xt "24700,8300,31000,9700" +st "motorOn" +ju 2 +blo "31000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 592,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 37,0 +) +) +) +*68 (CptPort +uid 593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 594,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 595,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 596,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,20800,11700" +st "Power" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 597,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 38,0 +) +) +) +*69 (CptPort +uid 598,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 599,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,19625,15000,20375" +) +tg (CPTG +uid 600,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 601,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,19300,20100,20700" +st "reset" +blo "16000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 602,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 39,0 +) +) +) +*70 (CptPort +uid 603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,16625,32750,17375" +) +tg (CPTG +uid 605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 606,0 +va (VaSet +font "Verdana,12,0" +) +xt "26800,16300,31000,17700" +st "side1" +ju 2 +blo "31000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 607,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 40,0 +) +) +) +*71 (CptPort +uid 608,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 609,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,14625,32750,15375" +) +tg (CPTG +uid 610,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 611,0 +va (VaSet +font "Verdana,12,0" +) +xt "26800,14300,31000,15700" +st "side2" +ju 2 +blo "31000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 612,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "side2 : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 41,0 +) +) +) +*72 (CptPort +uid 613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 614,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 616,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,20100,15700" +st "sideL" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 617,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "sideL : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sideL" +t "std_ulogic" +o 4 +suid 42,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,32000,24000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,13800,25150,15000" +st "Cursor" +blo "21450,14800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,15000,25550,16200" +st "driver2" +blo "21450,16000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-6000,11000,5500,11800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,48800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 677,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/driver2/struct.bd b/Cursor/hds/driver2/struct.bd new file mode 100644 index 0000000..5df46e3 --- /dev/null +++ b/Cursor/hds/driver2/struct.bd @@ -0,0 +1,4913 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_1" +duLibraryName "sequential" +duName "counterEnableResetSync" +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 255,0 +) +(Instance +name "U_0" +duLibraryName "Cursor" +duName "Counter_Controller" +elements [ +] +mwi 0 +uid 285,0 +) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "PWM" +elements [ +] +mwi 0 +uid 315,0 +) +(Instance +name "U_2" +duLibraryName "Cursor" +duName "if0" +elements [ +] +mwi 0 +uid 729,0 +) +(Instance +name "U_6" +duLibraryName "gates" +duName "demux1to2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 868,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "driver2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "20:03:26" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "driver2" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\struct.bd" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\driver2\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "20:03:26" +) +(vvPair +variable "unit" +value "driver2" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 180,0 +optionalChildren [ +*1 (Grouping +uid 137,0 +optionalChildren [ +*2 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-1000,74000,16000,75000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-800,74000,11800,75000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "16000,70000,20000,71000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "16200,70000,19200,71000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-1000,72000,16000,73000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-800,72000,9200,73000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-5000,72000,-1000,73000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-4800,72000,-2700,73000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "16000,71000,36000,75000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 153,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "16200,71200,25600,72200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,70000,36000,71000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "20200,70000,21800,71000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-5000,70000,16000,72000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 159,0 +va (VaSet +fg "32768,0,0" +) +xt "350,70400,10650,71600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-5000,73000,-1000,74000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-4800,73000,-2700,74000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 163,0 +shape (Rectangle +uid 164,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-5000,74000,-1000,75000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 165,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-4800,74000,-2100,75000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 166,0 +shape (Rectangle +uid 167,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-1000,73000,16000,74000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 168,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "-800,73000,7500,74000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 138,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-5000,70000,36000,75000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 237,0 +shape (CompositeShape +uid 238,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 239,0 +sl 0 +ro 270 +xt "-2000,52625,-500,53375" +) +(Line +uid 240,0 +sl 0 +ro 270 +xt "-500,53000,0,53000" +pts [ +"-500,53000" +"0,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 241,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 242,0 +va (VaSet +) +xt "-6400,52400,-3000,53600" +st "clock" +ju 2 +blo "-3000,53400" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 243,0 +shape (CompositeShape +uid 244,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 245,0 +sl 0 +ro 270 +xt "-2000,54625,-500,55375" +) +(Line +uid 246,0 +sl 0 +ro 270 +xt "-500,55000,0,55000" +pts [ +"-500,55000" +"0,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 247,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 248,0 +va (VaSet +) +xt "-6300,54400,-3000,55600" +st "reset" +ju 2 +blo "-3000,55400" +tm "WireNameMgr" +) +) +) +*14 (PortIoIn +uid 249,0 +shape (CompositeShape +uid 250,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 251,0 +sl 0 +ro 270 +xt "21000,22625,22500,23375" +) +(Line +uid 252,0 +sl 0 +ro 270 +xt "22500,23000,23000,23000" +pts [ +"22500,23000" +"23000,23000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 253,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 254,0 +va (VaSet +) +xt "16300,22400,20000,23600" +st "Power" +ju 2 +blo "20000,23400" +tm "WireNameMgr" +) +) +) +*15 (SaComponent +uid 255,0 +optionalChildren [ +*16 (CptPort +uid 265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 266,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,52625,17000,53375" +) +tg (CPTG +uid 267,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 268,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,52300,21800,53700" +st "clock" +blo "18000,53500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*17 (CptPort +uid 269,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 270,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,48625,33750,49375" +) +tg (CPTG +uid 271,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 272,0 +va (VaSet +font "Verdana,12,0" +) +xt "25400,48300,32000,49700" +st "countOut" +ju 2 +blo "32000,49500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*18 (CptPort +uid 273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,54625,17000,55375" +) +tg (CPTG +uid 275,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 276,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,54300,22100,55700" +st "reset" +blo "18000,55500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*19 (CptPort +uid 277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,48625,17000,49375" +) +tg (CPTG +uid 279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 280,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,48300,23100,49700" +st "enable" +blo "18000,49500" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*20 (CptPort +uid 281,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 282,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,46625,17000,47375" +) +tg (CPTG +uid 283,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 284,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,46300,25000,47700" +st "resetSync" +blo "18000,47500" +) +) +thePort (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 256,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "17000,43000,33000,57000" +) +oxt "30000,9000,46000,23000" +ttg (MlTextGroup +uid 257,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 258,0 +va (VaSet +) +xt "17300,57400,23900,58600" +st "sequential" +blo "17300,58400" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 259,0 +va (VaSet +) +xt "17300,58600,32000,59800" +st "counterEnableResetSync" +blo "17300,59600" +tm "CptNameMgr" +) +*23 (Text +uid 260,0 +va (VaSet +) +xt "17300,59800,20100,61000" +st "U_1" +blo "17300,60800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 261,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 262,0 +text (MLText +uid 263,0 +va (VaSet +) +xt "17000,61400,34800,63800" +st "bitNb = 8 ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "17250,55250,18750,56750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (SaComponent +uid 285,0 +optionalChildren [ +*25 (CptPort +uid 295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 296,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,30625,19000,31375" +) +tg (CPTG +uid 297,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 298,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,30300,23800,31700" +st "clock" +blo "20000,31500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*26 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,32625,32750,33375" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 302,0 +va (VaSet +font "Verdana,12,0" +) +xt "24400,32300,31000,33700" +st "countOut" +ju 2 +blo "31000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 2 +) +) +) +*27 (CptPort +uid 303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 304,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,32625,19000,33375" +) +tg (CPTG +uid 305,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 306,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,32300,25100,33700" +st "enable" +blo "20000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*28 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,28625,19000,29375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,28300,24100,29700" +st "reset" +blo "20000,29500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*29 (CptPort +uid 311,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 312,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,35625,19000,36375" +) +tg (CPTG +uid 313,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 314,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,35300,27000,36700" +st "resetSync" +blo "20000,36500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 286,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,28000,32000,38000" +) +oxt "15000,6000,28000,16000" +ttg (MlTextGroup +uid 287,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 288,0 +va (VaSet +font "Verdana,9,1" +) +xt "19200,37800,22900,39000" +st "Cursor" +blo "19200,38800" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 289,0 +va (VaSet +font "Verdana,9,1" +) +xt "19200,39000,29800,40200" +st "Counter_Controller" +blo "19200,40000" +tm "CptNameMgr" +) +*32 (Text +uid 290,0 +va (VaSet +font "Verdana,9,1" +) +xt "19200,40200,21700,41400" +st "U_0" +blo "19200,41200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 291,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 292,0 +text (MLText +uid 293,0 +va (VaSet +font "Courier New,8,0" +) +xt "-4000,29000,-4000,29000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 294,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,36250,20750,37750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*33 (SaComponent +uid 315,0 +optionalChildren [ +*34 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45625,29250,46375,30000" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 328,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "45300,31000,46700,34800" +st "clock" +ju 2 +blo "46500,31000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*35 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,37625,43000,38375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,37300,50600,38700" +st "countOut" +blo "44000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 3 +) +) +) +*36 (CptPort +uid 333,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 334,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,31625,43000,32375" +) +tg (CPTG +uid 335,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 336,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,31300,48800,32700" +st "Power" +blo "44000,32500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +) +*37 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,37625,51750,38375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +font "Verdana,12,0" +) +xt "43200,37300,50000,38700" +st "PWM_out" +ju 2 +blo "50000,38500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +) +) +) +*38 (CptPort +uid 341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 342,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47625,29250,48375,30000" +) +tg (CPTG +uid 343,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 344,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "47300,31000,48700,35100" +st "reset" +ju 2 +blo "48500,31000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 316,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,30000,51000,40000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 317,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 318,0 +va (VaSet +font "Verdana,9,1" +) +xt "43150,39800,46850,41000" +st "Cursor" +blo "43150,40800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 319,0 +va (VaSet +font "Verdana,9,1" +) +xt "43150,41000,46350,42200" +st "PWM" +blo "43150,42000" +tm "CptNameMgr" +) +*41 (Text +uid 320,0 +va (VaSet +font "Verdana,9,1" +) +xt "43150,42200,45650,43400" +st "U_4" +blo "43150,43200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 321,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 322,0 +text (MLText +uid 323,0 +va (VaSet +font "Courier New,8,0" +) +xt "17500,31000,17500,31000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 324,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "43250,38250,44750,39750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*42 (PortIoIn +uid 345,0 +shape (CompositeShape +uid 346,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 347,0 +sl 0 +ro 180 +xt "71625,66500,72375,68000" +) +(Line +uid 348,0 +sl 0 +ro 180 +xt "72000,66000,72000,66500" +pts [ +"72000,66500" +"72000,66000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 349,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 350,0 +va (VaSet +) +xt "71300,69000,74700,70200" +st "sideL" +blo "71300,70000" +tm "WireNameMgr" +) +) +) +*43 (PortIoOut +uid 405,0 +shape (CompositeShape +uid 406,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 407,0 +sl 0 +ro 270 +xt "81500,32625,83000,33375" +) +(Line +uid 408,0 +sl 0 +ro 270 +xt "81000,33000,81500,33000" +pts [ +"81000,33000" +"81500,33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 409,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +va (VaSet +) +xt "84000,32400,89100,33600" +st "motorOn" +blo "84000,33400" +tm "WireNameMgr" +) +) +) +*44 (PortIoOut +uid 411,0 +shape (CompositeShape +uid 412,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 413,0 +sl 0 +ro 270 +xt "85500,47625,87000,48375" +) +(Line +uid 414,0 +sl 0 +ro 270 +xt "85000,48000,85500,48000" +pts [ +"85000,48000" +"85500,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 415,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 416,0 +va (VaSet +) +xt "88000,47400,91500,48600" +st "side2" +blo "88000,48400" +tm "WireNameMgr" +) +) +) +*45 (PortIoOut +uid 417,0 +shape (CompositeShape +uid 418,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 419,0 +sl 0 +ro 270 +xt "85500,55625,87000,56375" +) +(Line +uid 420,0 +sl 0 +ro 270 +xt "85000,56000,85500,56000" +pts [ +"85000,56000" +"85500,56000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 421,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +) +xt "88000,55400,91500,56600" +st "side1" +blo "88000,56400" +tm "WireNameMgr" +) +) +) +*46 (Net +uid 547,0 +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 9,0 +) +declText (MLText +uid 548,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,39500,3200" +st "Power : unsigned(7 DOWNTO 0)" +) +) +*47 (Net +uid 549,0 +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +suid 10,0 +) +declText (MLText +uid 550,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9200,38000,10000" +st "SIGNAL PWM_out : std_ulogic" +) +) +*48 (Net +uid 551,0 +decl (Decl +n "resetSync" +t "std_ulogic" +o 12 +suid 11,0 +) +declText (MLText +uid 552,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,11600,38000,12400" +st "SIGNAL resetSync : std_ulogic" +) +) +*49 (Net +uid 553,0 +decl (Decl +n "enable" +t "std_ulogic" +o 11 +suid 12,0 +) +declText (MLText +uid 554,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10800,38000,11600" +st "SIGNAL enable : std_ulogic" +) +) +*50 (Net +uid 555,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +declText (MLText +uid 556,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34500,4000" +st "clock : std_ulogic" +) +) +*51 (Net +uid 557,0 +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 10 +suid 14,0 +) +declText (MLText +uid 558,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10000,43000,10800" +st "SIGNAL countOut : unsigned(7 DOWNTO 0)" +) +) +*52 (Net +uid 559,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +declText (MLText +uid 560,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34500,4800" +st "reset : std_ulogic" +) +) +*53 (Net +uid 563,0 +lang 11 +decl (Decl +n "motorOn" +t "std_uLogic" +o 6 +suid 17,0 +) +declText (MLText +uid 564,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34500,6400" +st "motorOn : std_uLogic" +) +) +*54 (Net +uid 565,0 +lang 11 +decl (Decl +n "side1" +t "std_uLogic" +o 7 +suid 18,0 +) +declText (MLText +uid 566,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34500,7200" +st "side1 : std_uLogic" +) +) +*55 (Net +uid 567,0 +lang 11 +decl (Decl +n "side2" +t "std_uLogic" +o 8 +suid 19,0 +) +declText (MLText +uid 568,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,34500,8000" +st "side2 : std_uLogic" +) +) +*56 (SaComponent +uid 729,0 +optionalChildren [ +*57 (CptPort +uid 713,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 714,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69625,19250,70375,20000" +) +tg (CPTG +uid 715,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 716,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "69300,21000,70700,24800" +st "clock" +ju 2 +blo "70500,21000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +) +*58 (CptPort +uid 717,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 718,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75000,24625,75750,25375" +) +tg (CPTG +uid 719,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 720,0 +va (VaSet +font "Verdana,12,0" +) +xt "67700,24300,74000,25700" +st "motorOn" +ju 2 +blo "74000,25500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 16,0 +) +) +) +*59 (CptPort +uid 721,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 722,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,25625,67000,26375" +) +tg (CPTG +uid 723,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 724,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,25300,71800,26700" +st "Power" +blo "67000,26500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 17,0 +) +) +) +*60 (CptPort +uid 725,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 726,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71625,19250,72375,20000" +) +tg (CPTG +uid 727,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 728,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "71300,21000,72700,25100" +st "reset" +ju 2 +blo "72500,21000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 18,0 +) +) +) +] +shape (Rectangle +uid 730,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,20000,75000,30000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 731,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 732,0 +va (VaSet +font "Verdana,9,1" +) +xt "67150,29800,70850,31000" +st "Cursor" +blo "67150,30800" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 733,0 +va (VaSet +font "Verdana,9,1" +) +xt "67150,31000,69150,32200" +st "if0" +blo "67150,32000" +tm "CptNameMgr" +) +*63 (Text +uid 734,0 +va (VaSet +font "Verdana,9,1" +) +xt "67150,32200,69650,33400" +st "U_2" +blo "67150,33200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 735,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 736,0 +text (MLText +uid 737,0 +va (VaSet +font "Courier New,8,0" +) +xt "35500,21000,35500,21000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 738,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "67250,28250,68750,29750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*64 (SaComponent +uid 868,0 +optionalChildren [ +*65 (CptPort +uid 852,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 853,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "76000,47625,76750,48375" +) +tg (CPTG +uid 854,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 855,0 +va (VaSet +) +xt "72000,47500,75000,48700" +st "out0" +ju 2 +blo "75000,48500" +) +s (Text +uid 878,0 +va (VaSet +) +xt "75000,48700,75000,48700" +ju 2 +blo "75000,48700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*66 (CptPort +uid 856,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 857,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "76000,55625,76750,56375" +) +tg (CPTG +uid 858,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 859,0 +va (VaSet +) +xt "72000,55500,75000,56700" +st "out1" +ju 2 +blo "75000,56500" +) +s (Text +uid 879,0 +va (VaSet +) +xt "75000,56700,75000,56700" +ju 2 +blo "75000,56700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*67 (CptPort +uid 860,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 861,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "67250,51625,68000,52375" +) +tg (CPTG +uid 862,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 863,0 +va (VaSet +) +xt "69000,51500,71300,52700" +st "in1" +blo "69000,52500" +) +s (Text +uid 880,0 +va (VaSet +) +xt "69000,52700,69000,52700" +blo "69000,52700" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 5,0 +) +) +) +*68 (CptPort +uid 864,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 865,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "71625,58000,72375,58750" +) +tg (CPTG +uid 866,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 867,0 +va (VaSet +) +xt "72000,57000,74200,58200" +st "sel" +blo "72000,58000" +) +s (Text +uid 881,0 +va (VaSet +) +xt "72000,58200,72000,58200" +blo "72000,58200" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +] +shape (Mux +uid 869,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,43000,76000,61000" +) +showPorts 0 +oxt "37000,4000,45000,22000" +ttg (MlTextGroup +uid 870,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 871,0 +va (VaSet +font "Verdana,8,1" +) +xt "66600,56700,69700,57700" +st "gates" +blo "66600,57500" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 872,0 +va (VaSet +font "Verdana,8,1" +) +xt "66600,57700,72400,58700" +st "demux1to2" +blo "66600,58500" +tm "CptNameMgr" +) +*71 (Text +uid 873,0 +va (VaSet +font "Verdana,8,1" +) +xt "66600,58700,69100,59700" +st "U_6" +blo "66600,59500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 874,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 875,0 +text (MLText +uid 876,0 +va (VaSet +font "Verdana,8,0" +) +xt "66000,60600,80100,61600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 877,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,59250,69750,60750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*72 (Net +uid 981,0 +decl (Decl +n "sideL" +t "std_ulogic" +o 11 +suid 27,0 +) +declText (MLText +uid 982,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34500,5600" +st "sideL : std_ulogic" +) +) +*73 (Wire +uid 423,0 +optionalChildren [ +*74 (BdJunction +uid 427,0 +ps "OnConnectorStrategy" +shape (Circle +uid 428,0 +va (VaSet +vasetType 1 +) +xt "1600,54600,2400,55400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 424,0 +va (VaSet +vasetType 3 +) +xt "0,55000,16250,55000" +pts [ +"0,55000" +"16250,55000" +] +) +start &13 +end &18 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +isHidden 1 +) +xt "2000,53800,5300,55000" +st "reset" +blo "2000,54800" +tm "WireNameMgr" +) +) +on &52 +) +*75 (Wire +uid 429,0 +shape (OrthoPolyLine +uid 430,0 +va (VaSet +vasetType 3 +) +xt "2000,29000,18250,55000" +pts [ +"2000,55000" +"2000,29000" +"18250,29000" +] +) +start &74 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 431,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 432,0 +va (VaSet +) +xt "14000,27800,17300,29000" +st "reset" +blo "14000,28800" +tm "WireNameMgr" +) +) +on &52 +) +*76 (Wire +uid 433,0 +optionalChildren [ +*77 (BdJunction +uid 437,0 +ps "OnConnectorStrategy" +shape (Circle +uid 438,0 +va (VaSet +vasetType 1 +) +xt "39600,23600,40400,24400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 434,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,23000,42250,32000" +pts [ +"23000,23000" +"40000,23000" +"40000,32000" +"42250,32000" +] +) +start &14 +end &36 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 435,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 436,0 +va (VaSet +isHidden 1 +) +xt "25000,21800,28700,23000" +st "Power" +blo "25000,22800" +tm "WireNameMgr" +) +) +on &46 +) +*78 (Wire +uid 439,0 +shape (OrthoPolyLine +uid 440,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,24000,66250,26000" +pts [ +"40000,24000" +"62000,24000" +"62000,26000" +"66250,26000" +] +) +start &77 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 441,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 442,0 +va (VaSet +) +xt "62000,24800,65700,26000" +st "Power" +blo "62000,25800" +tm "WireNameMgr" +) +) +on &46 +) +*79 (Wire +uid 451,0 +optionalChildren [ +*80 (BdJunction +uid 455,0 +ps "OnConnectorStrategy" +shape (Circle +uid 456,0 +va (VaSet +vasetType 1 +) +xt "600,52600,1400,53400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 452,0 +va (VaSet +vasetType 3 +) +xt "0,53000,16250,53000" +pts [ +"0,53000" +"16250,53000" +] +) +start &12 +end &16 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 453,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 454,0 +va (VaSet +isHidden 1 +) +xt "2000,51800,5400,53000" +st "clock" +blo "2000,52800" +tm "WireNameMgr" +) +) +on &50 +) +*81 (Wire +uid 457,0 +shape (OrthoPolyLine +uid 458,0 +va (VaSet +vasetType 3 +) +xt "1000,31000,18250,53000" +pts [ +"1000,53000" +"1000,31000" +"18250,31000" +] +) +start &80 +end &25 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 459,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 460,0 +va (VaSet +) +xt "14000,29800,17400,31000" +st "clock" +blo "14000,30800" +tm "WireNameMgr" +) +) +on &50 +) +*82 (Wire +uid 461,0 +shape (OrthoPolyLine +uid 462,0 +va (VaSet +vasetType 3 +) +xt "51750,38000,68000,52000" +pts [ +"51750,38000" +"59000,38000" +"59000,52000" +"68000,52000" +] +) +start &37 +end &67 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 463,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 464,0 +va (VaSet +) +xt "54000,36800,59400,38000" +st "PWM_out" +blo "54000,37800" +tm "WireNameMgr" +) +) +on &47 +) +*83 (Wire +uid 471,0 +optionalChildren [ +*84 (BdJunction +uid 475,0 +ps "OnConnectorStrategy" +shape (Circle +uid 476,0 +va (VaSet +vasetType 1 +) +xt "38600,37600,39400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 472,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33750,38000,42250,49000" +pts [ +"33750,49000" +"39000,49000" +"39000,38000" +"42250,38000" +] +) +start &17 +end &35 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 473,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 474,0 +va (VaSet +) +xt "35750,47800,41150,49000" +st "countOut" +blo "35750,48800" +tm "WireNameMgr" +) +) +on &51 +) +*85 (Wire +uid 477,0 +shape (OrthoPolyLine +uid 478,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32750,33000,39000,38000" +pts [ +"39000,38000" +"37000,38000" +"37000,33000" +"32750,33000" +] +) +start &84 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 479,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 480,0 +va (VaSet +) +xt "35000,31800,40400,33000" +st "countOut" +blo "35000,32800" +tm "WireNameMgr" +) +) +on &51 +) +*86 (Wire +uid 481,0 +shape (OrthoPolyLine +uid 482,0 +va (VaSet +vasetType 3 +) +xt "48000,26000,48000,29250" +pts [ +"48000,26000" +"48000,29250" +] +) +end &38 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 486,0 +ro 270 +va (VaSet +) +xt "46800,26600,48000,29900" +st "reset" +blo "47800,29900" +tm "WireNameMgr" +) +) +on &52 +) +*87 (Wire +uid 487,0 +shape (OrthoPolyLine +uid 488,0 +va (VaSet +vasetType 3 +) +xt "75750,25000,81000,33000" +pts [ +"81000,33000" +"81000,25000" +"75750,25000" +] +) +start &43 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 492,0 +va (VaSet +isHidden 1 +) +xt "80000,31800,85100,33000" +st "motorOn" +blo "80000,32800" +tm "WireNameMgr" +) +) +on &53 +) +*88 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +) +xt "46000,26000,46000,29250" +pts [ +"46000,26000" +"46000,29250" +] +) +end &34 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 501,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 502,0 +ro 270 +va (VaSet +) +xt "44800,26500,46000,29900" +st "clock" +blo "45800,29900" +tm "WireNameMgr" +) +) +on &50 +) +*89 (Wire +uid 503,0 +shape (OrthoPolyLine +uid 504,0 +va (VaSet +vasetType 3 +) +xt "76000,56000,85000,56000" +pts [ +"85000,56000" +"76000,56000" +] +) +start &45 +end &66 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 506,0 +va (VaSet +isHidden 1 +) +xt "84000,54800,87500,56000" +st "side1" +blo "84000,55800" +tm "WireNameMgr" +) +) +on &54 +) +*90 (Wire +uid 507,0 +shape (OrthoPolyLine +uid 508,0 +va (VaSet +vasetType 3 +) +xt "76000,48000,85000,48000" +pts [ +"85000,48000" +"76000,48000" +] +) +start &44 +end &65 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 509,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 510,0 +va (VaSet +isHidden 1 +) +xt "84000,46800,87500,48000" +st "side2" +blo "84000,47800" +tm "WireNameMgr" +) +) +on &55 +) +*91 (Wire +uid 511,0 +shape (OrthoPolyLine +uid 512,0 +va (VaSet +vasetType 3 +) +xt "6000,33000,18250,49000" +pts [ +"16250,49000" +"6000,49000" +"6000,33000" +"18250,33000" +] +) +start &19 +end &27 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 513,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 514,0 +va (VaSet +) +xt "11250,47800,15250,49000" +st "enable" +blo "11250,48800" +tm "WireNameMgr" +) +) +on &49 +) +*92 (Wire +uid 515,0 +shape (OrthoPolyLine +uid 516,0 +va (VaSet +vasetType 3 +) +xt "70000,16000,70000,19250" +pts [ +"70000,16000" +"70000,19250" +] +) +end &57 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 521,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 522,0 +ro 270 +va (VaSet +) +xt "68800,16500,70000,19900" +st "clock" +blo "69800,19900" +tm "WireNameMgr" +) +) +on &50 +) +*93 (Wire +uid 535,0 +shape (OrthoPolyLine +uid 536,0 +va (VaSet +vasetType 3 +) +xt "72000,16000,72000,19250" +pts [ +"72000,16000" +"72000,19250" +] +) +end &60 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 542,0 +ro 270 +va (VaSet +) +xt "70800,16600,72000,19900" +st "reset" +blo "71800,19900" +tm "WireNameMgr" +) +) +on &52 +) +*94 (Wire +uid 543,0 +shape (OrthoPolyLine +uid 544,0 +va (VaSet +vasetType 3 +) +xt "8000,36000,18250,47000" +pts [ +"16250,47000" +"8000,47000" +"8000,36000" +"18250,36000" +] +) +start &20 +end &29 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 545,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 546,0 +va (VaSet +) +xt "9250,45800,15050,47000" +st "resetSync" +blo "9250,46800" +tm "WireNameMgr" +) +) +on &48 +) +*95 (Wire +uid 977,0 +shape (OrthoPolyLine +uid 978,0 +va (VaSet +vasetType 3 +) +xt "72000,58000,72000,66000" +pts [ +"72000,66000" +"72000,58000" +] +) +start &42 +end &68 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 979,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 980,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "70800,61800,72000,65200" +st "sideL" +blo "71800,65200" +tm "WireNameMgr" +) +s (Text +uid 1016,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "72000,65200,72000,65200" +blo "72000,65200" +tm "SignalTypeMgr" +) +) +on &72 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *96 (PackageList +uid 169,0 +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 170,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*98 (MLText +uid 171,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 172,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*100 (Text +uid 174,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*101 (MLText +uid 175,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*102 (Text +uid 176,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*103 (MLText +uid 177,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*104 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*105 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,14,1921,1080" +viewArea "2100,7700,151084,90244" +cachedDiagramExtent "-6400,0,91500,75000" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 1016,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*117 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*119 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*120 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*124 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*126 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8000,29500,9200" +st "Diagram Signals:" +blo "20000,9000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 27,0 +usingSuid 1 +emptyRow *127 (LEmptyRow +) +uid 182,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*135 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*136 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*137 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*138 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*139 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*140 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 9,0 +) +) +uid 569,0 +) +*141 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 571,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSync" +t "std_ulogic" +o 12 +suid 11,0 +) +) +uid 573,0 +) +*143 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enable" +t "std_ulogic" +o 11 +suid 12,0 +) +) +uid 575,0 +) +*144 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +) +uid 577,0 +) +*145 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "countOut" +t "unsigned" +b "(7 DOWNTO 0)" +o 10 +suid 14,0 +) +) +uid 579,0 +) +*146 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 581,0 +) +*147 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 6 +suid 17,0 +) +) +uid 585,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 7 +suid 18,0 +) +) +uid 587,0 +) +*149 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 8 +suid 19,0 +) +) +uid 589,0 +) +*150 (LeafLogPort +port (LogicalPort +decl (Decl +n "sideL" +t "std_ulogic" +o 11 +suid 27,0 +) +) +uid 983,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 195,0 +optionalChildren [ +*151 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *152 (MRCItem +litem &127 +pos 11 +dimension 20 +) +uid 197,0 +optionalChildren [ +*153 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 198,0 +) +*154 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 199,0 +) +*155 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 200,0 +) +*156 (MRCItem +litem &140 +pos 2 +dimension 20 +uid 570,0 +) +*157 (MRCItem +litem &141 +pos 3 +dimension 20 +uid 572,0 +) +*158 (MRCItem +litem &142 +pos 7 +dimension 20 +uid 574,0 +) +*159 (MRCItem +litem &143 +pos 8 +dimension 20 +uid 576,0 +) +*160 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 578,0 +) +*161 (MRCItem +litem &145 +pos 9 +dimension 20 +uid 580,0 +) +*162 (MRCItem +litem &146 +pos 4 +dimension 20 +uid 582,0 +) +*163 (MRCItem +litem &147 +pos 1 +dimension 20 +uid 586,0 +) +*164 (MRCItem +litem &148 +pos 5 +dimension 20 +uid 588,0 +) +*165 (MRCItem +litem &149 +pos 6 +dimension 20 +uid 590,0 +) +*166 (MRCItem +litem &150 +pos 10 +dimension 20 +uid 984,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 201,0 +optionalChildren [ +*167 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 202,0 +) +*168 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 203,0 +) +*169 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 204,0 +) +*170 (MRCItem +litem &135 +pos 3 +dimension 50 +uid 205,0 +) +*171 (MRCItem +litem &136 +pos 4 +dimension 100 +uid 206,0 +) +*172 (MRCItem +litem &137 +pos 5 +dimension 100 +uid 207,0 +) +*173 (MRCItem +litem &138 +pos 6 +dimension 50 +uid 208,0 +) +*174 (MRCItem +litem &139 +pos 7 +dimension 80 +uid 209,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 196,0 +vaOverrides [ +] +) +] +) +uid 181,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *175 (LEmptyRow +) +uid 211,0 +optionalChildren [ +*176 (RefLabelRowHdr +) +*177 (TitleRowHdr +) +*178 (FilterRowHdr +) +*179 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*180 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*181 (GroupColHdr +tm "GroupColHdrMgr" +) +*182 (NameColHdr +tm "GenericNameColHdrMgr" +) +*183 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*184 (InitColHdr +tm "GenericValueColHdrMgr" +) +*185 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*186 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 223,0 +optionalChildren [ +*187 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *188 (MRCItem +litem &175 +pos 0 +dimension 20 +) +uid 225,0 +optionalChildren [ +*189 (MRCItem +litem &176 +pos 0 +dimension 20 +uid 226,0 +) +*190 (MRCItem +litem &177 +pos 1 +dimension 23 +uid 227,0 +) +*191 (MRCItem +litem &178 +pos 2 +hidden 1 +dimension 20 +uid 228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*192 (MRCItem +litem &179 +pos 0 +dimension 20 +uid 230,0 +) +*193 (MRCItem +litem &181 +pos 1 +dimension 50 +uid 231,0 +) +*194 (MRCItem +litem &182 +pos 2 +dimension 100 +uid 232,0 +) +*195 (MRCItem +litem &183 +pos 3 +dimension 100 +uid 233,0 +) +*196 (MRCItem +litem &184 +pos 4 +dimension 50 +uid 234,0 +) +*197 (MRCItem +litem &185 +pos 5 +dimension 50 +uid 235,0 +) +*198 (MRCItem +litem &186 +pos 6 +dimension 80 +uid 236,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 224,0 +vaOverrides [ +] +) +] +) +uid 210,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/enable_acceleration/interface b/Cursor/hds/enable_acceleration/interface index 8de66dd..be248a5 100644 --- a/Cursor/hds/enable_acceleration/interface +++ b/Cursor/hds/enable_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 106,0 +suid 114,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 99,0 +suid 107,0 ) ) -uid 1225,0 +uid 1304,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +80,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 100,0 +suid 108,0 ) ) -uid 1227,0 +uid 1306,0 ) *16 (LogPort port (LogicalPort @@ -93,10 +93,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 101,0 +suid 109,0 ) ) -uid 1229,0 +uid 1308,0 ) *17 (LogPort port (LogicalPort @@ -106,22 +106,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 102,0 +suid 110,0 ) ) -uid 1231,0 +uid 1310,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 103,0 +suid 111,0 ) ) -uid 1233,0 +uid 1312,0 ) *19 (LogPort port (LogicalPort @@ -130,10 +130,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 104,0 +suid 112,0 ) ) -uid 1235,0 +uid 1314,0 ) *20 (LogPort port (LogicalPort @@ -142,10 +142,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 5 -suid 105,0 +suid 113,0 ) ) -uid 1237,0 +uid 1316,0 ) *21 (LogPort port (LogicalPort @@ -154,10 +154,10 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 106,0 +suid 114,0 ) ) -uid 1239,0 +uid 1318,0 ) ] ) @@ -213,49 +213,49 @@ uid 99,0 litem &14 pos 0 dimension 20 -uid 1226,0 +uid 1305,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 1228,0 +uid 1307,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 1230,0 +uid 1309,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 1232,0 +uid 1311,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 1234,0 +uid 1313,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 1236,0 +uid 1315,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 1238,0 +uid 1317,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 1240,0 +uid 1319,0 ) ] ) @@ -530,19 +530,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -570,7 +570,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -582,7 +582,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "group" @@ -606,7 +606,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -614,11 +614,11 @@ value "enable_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -654,7 +654,7 @@ value "interface" ) (vvPair variable "time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "unit" @@ -674,11 +674,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -689,10 +689,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 1185,0 +uid 1264,0 ps "OnEdgeStrategy" shape (Triangle -uid 1186,0 +uid 1265,0 ro 90 va (VaSet vasetType 1 @@ -701,11 +701,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 1187,0 +uid 1266,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1188,0 +uid 1267,0 va (VaSet font "Verdana,12,0" ) @@ -716,26 +716,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1189,0 +uid 1268,0 va (VaSet font "Courier New,8,0" ) +xt "44000,3200,66500,4000" +st "clk : IN std_uLogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 99,0 +suid 107,0 ) ) ) *69 (CptPort -uid 1190,0 +uid 1269,0 ps "OnEdgeStrategy" shape (Triangle -uid 1191,0 +uid 1270,0 ro 90 va (VaSet vasetType 1 @@ -744,11 +747,11 @@ fg "0,65535,0" xt "23000,14625,23750,15375" ) tg (CPTG -uid 1192,0 +uid 1271,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1193,0 +uid 1272,0 va (VaSet font "Verdana,12,0" ) @@ -760,10 +763,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1194,0 +uid 1273,0 va (VaSet font "Courier New,8,0" ) +xt "44000,8000,65000,8800" +st "end_acceleration : OUT std_ulogic +" ) thePort (LogicalPort lang 11 @@ -772,15 +778,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 100,0 +suid 108,0 ) ) ) *70 (CptPort -uid 1195,0 +uid 1274,0 ps "OnEdgeStrategy" shape (Triangle -uid 1196,0 +uid 1275,0 ro 90 va (VaSet vasetType 1 @@ -789,11 +795,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1197,0 +uid 1276,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1198,0 +uid 1277,0 va (VaSet font "Verdana,12,0" ) @@ -804,10 +810,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1199,0 +uid 1278,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4000,72000,4800" +st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -816,15 +825,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 101,0 +suid 109,0 ) ) ) *71 (CptPort -uid 1200,0 +uid 1279,0 ps "OnEdgeStrategy" shape (Triangle -uid 1201,0 +uid 1280,0 ro 90 va (VaSet vasetType 1 @@ -833,11 +842,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1202,0 +uid 1281,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1203,0 +uid 1282,0 va (VaSet font "Verdana,12,0" ) @@ -848,10 +857,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1204,0 +uid 1283,0 va (VaSet font "Courier New,8,0" ) +xt "44000,2400,72000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -860,15 +872,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 102,0 +suid 110,0 ) ) ) *72 (CptPort -uid 1205,0 +uid 1284,0 ps "OnEdgeStrategy" shape (Triangle -uid 1206,0 +uid 1285,0 ro 90 va (VaSet vasetType 1 @@ -877,11 +889,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 1207,0 +uid 1286,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1208,0 +uid 1287,0 va (VaSet font "Verdana,12,0" ) @@ -892,26 +904,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1209,0 +uid 1288,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 103,0 +suid 111,0 ) ) ) *73 (CptPort -uid 1210,0 +uid 1289,0 ps "OnEdgeStrategy" shape (Triangle -uid 1211,0 +uid 1290,0 ro 90 va (VaSet vasetType 1 @@ -920,11 +935,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1212,0 +uid 1291,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1213,0 +uid 1292,0 va (VaSet font "Verdana,12,0" ) @@ -935,10 +950,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1214,0 +uid 1293,0 va (VaSet font "Courier New,8,0" ) +xt "44000,5600,66500,6400" +st "sideL_acceleration : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -946,15 +964,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 104,0 +suid 112,0 ) ) ) *74 (CptPort -uid 1215,0 +uid 1294,0 ps "OnEdgeStrategy" shape (Triangle -uid 1216,0 +uid 1295,0 ro 90 va (VaSet vasetType 1 @@ -963,11 +981,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 1217,0 +uid 1296,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1218,0 +uid 1297,0 va (VaSet font "Verdana,12,0" ) @@ -978,10 +996,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1219,0 +uid 1298,0 va (VaSet font "Courier New,8,0" ) +xt "44000,6400,66500,7200" +st "skip_acceleration : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -989,15 +1010,15 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 5 -suid 105,0 +suid 113,0 ) ) ) *75 (CptPort -uid 1220,0 +uid 1299,0 ps "OnEdgeStrategy" shape (Triangle -uid 1221,0 +uid 1300,0 ro 90 va (VaSet vasetType 1 @@ -1006,11 +1027,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 1222,0 +uid 1301,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1223,0 +uid 1302,0 va (VaSet font "Verdana,12,0" ) @@ -1021,10 +1042,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1224,0 +uid 1303,0 va (VaSet font "Courier New,8,0" ) +xt "44000,7200,66500,8000" +st "unlock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -1032,7 +1056,7 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 106,0 +suid 114,0 ) ) ) @@ -1743,6 +1767,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1240,0 +lastUid 1319,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/if0/fsm.sm b/Cursor/hds/if0/fsm.sm new file mode 100644 index 0000000..303f460 --- /dev/null +++ b/Cursor/hds/if0/fsm.sm @@ -0,0 +1,3404 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0" +) +(vvPair +variable "date" +value "15.01.2022" +) +(vvPair +variable "day" +value "sam." +) +(vvPair +variable "day_long" +value "samedi" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "entity_name" +value "if0" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "15.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "18:52:32" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "if0" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "18:52:32" +) +(vvPair +variable "unit" +value "if0" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +uid 201,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "36323,11425,43723,18825" +radius 3700 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "37023,14425,43023,15825" +st "power0" +ju 0 +blo "40023,15625" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "39773,15325,44873,16725" +st "wait 2" +blo "39773,16525" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "40023,16225,40023,16225" +blo "40023,16225" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39023,17025,49023,20025" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39123,18525,48923,18525" +pts [ +"39123,18525" +"48923,18525" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39123,17525,48923,17525" +pts [ +"39123,17525" +"48923,17525" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38673,17550,39023,17900" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38673,14950,39023,15300" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "39123,17125,48923,18325" +st "motorOn <= '0' ;" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "39123,18725,48923,19925" +st "motorOn <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "40023,15125,40023,15125" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "37923,16325,44623,17525" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,19700" +"4449,19700" +"4449,20300" +"4150,20300" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,24050,20700" +st "power0" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,24050,15700" +st "power0" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,41900,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 164,0 +shape (Circle +uid 165,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "51644,12340,59044,19740" +radius 3700 +) +name (Text +uid 166,0 +va (VaSet +font "Verdana,12,1" +) +xt "52344,15340,58344,16740" +st "power1" +ju 0 +blo "55344,16540" +tm "ONodeName" +) +wait (TextAssociate +uid 167,0 +ps "CenterOffsetStrategy" +text (Text +uid 168,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55094,16240,60194,17640" +st "wait 2" +blo "55094,17440" +tm "SmWaitText" +) +) +encoding (Text +uid 169,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55344,17140,55344,17140" +blo "55344,17140" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 173,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "54344,17940,64344,20940" +) +autoResize 1 +tline (Line +uid 174,0 +va (VaSet +vasetType 3 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "54444,19440,64244,19440" +pts [ +"54444,19440" +"64244,19440" +] +) +bline (Line +uid 175,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "54444,18440,64244,18440" +pts [ +"54444,18440" +"64244,18440" +] +) +ttri (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53994,18465,54344,18815" +) +btri (Triangle +uid 177,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53994,15865,54344,16215" +) +entryActions (MLText +uid 178,0 +va (VaSet +) +xt "54444,18040,64244,19240" +st "motorOn <= '1' ;" +tm "Actions" +) +inActions (MLText +uid 179,0 +va (VaSet +) +xt "54444,19640,64244,20840" +st "motorOn <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 180,0 +va (VaSet +) +xt "55344,16040,55344,16040" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 170,0 +ps "CenterOffsetStrategy" +text (MLText +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53244,17240,59944,18440" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,15750,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,15250,20500" +pts [ +"8650,20500" +"15250,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,15250,20100" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20900,16250,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*21 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*22 (Transition +uid 181,0 +shape (Spline +uid 182,0 +va (VaSet +vasetType 3 +) +xt "43322,10997,52315,13916" +pts [ +"43322,13450" +"48153,10997" +"52315,13916" +] +arrow 1 +) +start &2 +end &19 +ss 0 +es 0 +cond "Power > \"00000000\"" +tb (TransitionBlock +uid 183,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44842,8423,58142,10623" +) +autoResize 1 +lineShape (Line +uid 185,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47992,10523,47992,10523" +pts [ +"47992,10523" +"47992,10523" +] +) +condition (MLText +uid 186,0 +va (VaSet +) +xt "45342,8923,57642,10123" +st "Power > \"00000000\"" +tm "Condition" +) +actions (MLText +uid 187,0 +va (VaSet +) +xt "51492,10523,51492,10523" +tm "Actions" +) +) +tp (TransitionPriority +uid 188,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 189,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "43154,11811,45280,13937" +radius 1063 +) +pr (Text +uid 190,0 +va (VaSet +isHidden 1 +) +xt "43517,12274,44917,13474" +st "1" +ju 0 +blo "44217,13274" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 191,0 +shape (Spline +uid 192,0 +va (VaSet +vasetType 3 +) +xt "43166,17076,52085,20033" +pts [ +"52085,17791" +"47923,20029" +"43166,17076" +] +arrow 1 +) +start &19 +end &2 +ss 0 +es 0 +cond "Power = \"00000000\"" +tb (TransitionBlock +uid 193,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44796,20773,58096,22973" +) +autoResize 1 +lineShape (Line +uid 195,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47946,22873,47946,22873" +pts [ +"47946,22873" +"47946,22873" +] +) +condition (MLText +uid 196,0 +va (VaSet +) +xt "45296,21273,57596,22473" +st "Power = \"00000000\"" +tm "Condition" +) +actions (MLText +uid 197,0 +va (VaSet +) +xt "51446,22873,51446,22873" +tm "Actions" +) +) +tp (TransitionPriority +uid 198,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 199,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "50172,17339,52298,19465" +radius 1063 +) +pr (Text +uid 200,0 +va (VaSet +isHidden 1 +) +xt "50535,17802,51935,19002" +st "1" +ju 0 +blo "51235,18802" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *24 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*26 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*28 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*29 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*30 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*31 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*32 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*33 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "-46700,-18600,90104,69996" +cachedDiagramExtent "-650,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *34 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*36 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*37 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*38 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*39 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*40 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *41 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*43 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*44 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*45 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*46 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *47 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*49 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*50 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*51 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*52 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *53 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *54 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71900,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +motorOn OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *55 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +] +name "csm" +) +] +lastUid 358,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *56 (LEmptyRow +) +uid 203,0 +optionalChildren [ +*57 (RefLabelRowHdr +) +*58 (TitleRowHdr +) +*59 (FilterRowHdr +) +*60 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*61 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*62 (GroupColHdr +tm "GroupColHdrMgr" +) +*63 (NameColHdr +tm "SmNameColHdrMgr" +) +*64 (ModeColHdr +tm "SmModeColHdrMgr" +) +*65 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*66 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*67 (InitColHdr +tm "SmInitColHdrMgr" +) +*68 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*69 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*70 (ColumnHdr +tm "SmExprColHdrMgr" +) +*71 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*72 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*73 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*74 (EolColHdr +tm "SmEolColHdrMgr" +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*76 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +) +) +uid 158,0 +scheme 0 +) +*77 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 160,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +uid 270,0 +cat 9 +expr "reset = '1'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 222,0 +optionalChildren [ +*79 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *80 (MRCItem +litem &56 +pos 4 +dimension 20 +) +uid 224,0 +optionalChildren [ +*81 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 225,0 +) +*82 (MRCItem +litem &58 +pos 1 +dimension 23 +uid 226,0 +) +*83 (MRCItem +litem &59 +pos 2 +hidden 1 +dimension 20 +uid 227,0 +) +*84 (MRCItem +litem &75 +pos 2 +dimension 20 +uid 157,0 +) +*85 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 159,0 +) +*86 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 161,0 +) +*87 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 271,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 228,0 +optionalChildren [ +*88 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 229,0 +) +*89 (MRCItem +litem &62 +pos 1 +dimension 50 +uid 230,0 +) +*90 (MRCItem +litem &63 +pos 2 +dimension 70 +uid 231,0 +) +*91 (MRCItem +litem &64 +pos 3 +dimension 50 +uid 232,0 +) +*92 (MRCItem +litem &65 +pos 4 +dimension 80 +uid 233,0 +) +*93 (MRCItem +litem &66 +pos 5 +dimension 80 +uid 234,0 +) +*94 (MRCItem +litem &67 +pos 6 +dimension 40 +uid 235,0 +) +*95 (MRCItem +litem &68 +pos 7 +dimension 100 +uid 236,0 +) +*96 (MRCItem +litem &69 +pos 8 +dimension 60 +uid 237,0 +) +*97 (MRCItem +litem &70 +pos 9 +dimension 130 +uid 238,0 +) +*98 (MRCItem +litem &71 +pos 10 +dimension 56 +uid 239,0 +) +*99 (MRCItem +litem &72 +pos 11 +dimension 50 +uid 240,0 +) +*100 (MRCItem +litem &73 +pos 12 +dimension 50 +uid 241,0 +) +*101 (MRCItem +litem &74 +pos 13 +dimension 80 +uid 242,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 223,0 +vaOverrides [ +] +) +] +) +uid 202,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 244,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 256,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 258,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 259,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 260,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 262,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 263,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 264,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 265,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 266,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 267,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 268,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 269,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 257,0 +vaOverrides [ +] +) +] +) +uid 243,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/if0/symbol.sb b/Cursor/hds/if0/symbol.sb new file mode 100644 index 0000000..ef6435c --- /dev/null +++ b/Cursor/hds/if0/symbol.sb @@ -0,0 +1,1522 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 18,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +uid 502,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 16,0 +) +) +uid 504,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 17,0 +) +) +uid 506,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 18,0 +) +) +uid 508,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 503,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 505,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 507,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 509,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 111,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 112,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 115,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 116,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 117,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 118,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 119,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 120,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "if0" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "17:26:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "if0" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\symbol.sb" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if0\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:26:31" +) +(vvPair +variable "unit" +value "if0" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 483,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 484,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 485,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,10800" +st "clock" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 486,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +) +*61 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +font "Verdana,12,0" +) +xt "15700,10300,22000,11700" +st "motorOn" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "motorOn : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 16,0 +) +) +) +*62 (CptPort +uid 492,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 493,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 494,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 495,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,20800,12700" +st "Power" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 496,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 17,0 +) +) +) +*63 (CptPort +uid 497,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 498,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 499,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 500,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,11100" +st "reset" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 501,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 18,0 +) +) +) +] +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15150,15800,18850,17000" +st "Cursor" +blo "15150,16800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15150,17000,17150,18200" +st "if0" +blo "15150,18000" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-16500,7000,-5000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,43100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Driver" +viewName "drivert.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 555,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/if1/fsm.sm b/Cursor/hds/if1/fsm.sm new file mode 100644 index 0000000..6af1352 --- /dev/null +++ b/Cursor/hds/if1/fsm.sm @@ -0,0 +1,3027 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "if1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "17:09:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "if1" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\fsm.sm" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "17:09:17" +) +(vvPair +variable "unit" +value "if1" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "31449,12449,38551,19551" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "33750,15300,36250,16700" +st "s0" +ju 0 +blo "35000,16500" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "34750,16200,39850,17600" +st "wait 2" +blo "34750,17400" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35000,17100,35000,17100" +blo "35000,17100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38900,19300,39100,19500" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "35000,16000,35000,16000" +pts [ +"35000,16000" +"35000,16000" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "35000,16000,35000,16000" +pts [ +"35000,16000" +"35000,16000" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34550,15825,34900,16175" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34550,15825,34900,16175" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "35000,16000,35000,16000" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "35000,16000,35000,16000" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "35000,16000,35000,16000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32900,17200,39600,18400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,21450,20700" +st "s0" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,21450,15700" +st "s0" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,48800,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,41900,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,15750,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,15250,20500" +pts [ +"8650,20500" +"15250,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,15250,20100" +st "reset = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20900,16250,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*20 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *21 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*23 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*25 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*26 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*27 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*28 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*29 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*30 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "192,108,1208,798" +viewArea "-500,-500,70420,47740" +cachedDiagramExtent "-650,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *31 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*33 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*34 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*35 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*36 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*37 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *38 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*40 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*41 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*42 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*43 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *44 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*46 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*47 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*48 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*49 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *50 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *51 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71900,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +motorOn OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *52 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +] +name "csm" +) +] +lastUid 163,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *53 (LEmptyRow +) +optionalChildren [ +*54 (RefLabelRowHdr +) +*55 (TitleRowHdr +) +*56 (FilterRowHdr +) +*57 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*58 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*59 (GroupColHdr +tm "GroupColHdrMgr" +) +*60 (NameColHdr +tm "SmNameColHdrMgr" +) +*61 (ModeColHdr +tm "SmModeColHdrMgr" +) +*62 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*63 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*64 (InitColHdr +tm "SmInitColHdrMgr" +) +*65 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*66 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*67 (ColumnHdr +tm "SmExprColHdrMgr" +) +*68 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*69 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*70 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*71 (EolColHdr +tm "SmEolColHdrMgr" +) +*72 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*73 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 158,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*74 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +uid 160,0 +cat 8 +expr "reset = '0'" +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +) +) +uid 162,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*76 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *77 (MRCItem +litem &53 +pos 4 +dimension 20 +) +optionalChildren [ +*78 (MRCItem +litem &54 +pos 0 +dimension 20 +) +*79 (MRCItem +litem &55 +pos 1 +dimension 23 +) +*80 (MRCItem +litem &56 +pos 2 +hidden 1 +dimension 20 +) +*81 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 157,0 +) +*82 (MRCItem +litem &73 +pos 1 +dimension 20 +uid 159,0 +) +*83 (MRCItem +litem &74 +pos 2 +dimension 20 +uid 161,0 +) +*84 (MRCItem +litem &75 +pos 3 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*85 (MRCItem +litem &57 +pos 0 +dimension 20 +) +*86 (MRCItem +litem &59 +pos 1 +dimension 50 +) +*87 (MRCItem +litem &60 +pos 2 +dimension 70 +) +*88 (MRCItem +litem &61 +pos 3 +dimension 50 +) +*89 (MRCItem +litem &62 +pos 4 +dimension 80 +) +*90 (MRCItem +litem &63 +pos 5 +dimension 80 +) +*91 (MRCItem +litem &64 +pos 6 +dimension 40 +) +*92 (MRCItem +litem &65 +pos 7 +dimension 100 +) +*93 (MRCItem +litem &66 +pos 8 +dimension 60 +) +*94 (MRCItem +litem &67 +pos 9 +dimension 130 +) +*95 (MRCItem +litem &68 +pos 10 +dimension 56 +) +*96 (MRCItem +litem &69 +pos 11 +dimension 50 +) +*97 (MRCItem +litem &70 +pos 12 +dimension 50 +) +*98 (MRCItem +litem &71 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *99 (LEmptyRow +) +optionalChildren [ +*100 (RefLabelRowHdr +) +*101 (TitleRowHdr +) +*102 (FilterRowHdr +) +*103 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*104 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*105 (GroupColHdr +tm "GroupColHdrMgr" +) +*106 (NameColHdr +tm "GenericNameColHdrMgr" +) +*107 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*108 (InitColHdr +tm "GenericValueColHdrMgr" +) +*109 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*110 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &99 +pos 0 +dimension 20 +) +optionalChildren [ +*113 (MRCItem +litem &100 +pos 0 +dimension 20 +) +*114 (MRCItem +litem &101 +pos 1 +dimension 23 +) +*115 (MRCItem +litem &102 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +) +*117 (MRCItem +litem &105 +pos 1 +dimension 50 +) +*118 (MRCItem +litem &106 +pos 2 +dimension 100 +) +*119 (MRCItem +litem &107 +pos 3 +dimension 100 +) +*120 (MRCItem +litem &108 +pos 4 +dimension 50 +) +*121 (MRCItem +litem &109 +pos 5 +dimension 50 +) +*122 (MRCItem +litem &110 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/if1/interface b/Cursor/hds/if1/interface new file mode 100644 index 0000000..07b4b74 --- /dev/null +++ b/Cursor/hds/if1/interface @@ -0,0 +1,1521 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 71,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 73,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 75,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 77,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 72,0 +) +*24 (MRCItem +litem &15 +pos 3 +dimension 20 +uid 74,0 +) +*25 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 76,0 +) +*26 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 78,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1" +) +(vvPair +variable "d_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1" +) +(vvPair +variable "date" +value "20.01.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "20" +) +(vvPair +variable "entity_name" +value "if1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "20.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332001" +) +(vvPair +variable "graphical_source_time" +value "17:09:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332001" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "if1" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\interface" +) +(vvPair +variable "p_logical" +value "U:\\Cursor\\Prefs\\..\\Cursor\\hds\\if1\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "17:09:17" +) +(vvPair +variable "unit" +value "if1" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,10800" +st "clock" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*61 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "15700,10300,22000,11700" +st "motorOn" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "motorOn : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*62 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,20800,12700" +st "Power" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +) +*63 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,11100" +st "reset" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,9800,20850,11000" +st "Cursor" +blo "17150,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,11000,19150,12200" +st "if1" +blo "17150,12000" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,48800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,43900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "driver2" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 204,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/move/interface b/Cursor/hds/move/interface index 7b5a42c..e5a2aeb 100644 --- a/Cursor/hds/move/interface +++ b/Cursor/hds/move/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 255,0 +suid 311,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 242,0 +suid 298,0 ) ) -uid 2371,0 +uid 2855,0 ) *15 (LogPort port (LogicalPort @@ -84,10 +84,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 243,0 +suid 299,0 ) ) -uid 2373,0 +uid 2857,0 ) *16 (LogPort port (LogicalPort @@ -96,10 +96,10 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 244,0 +suid 300,0 ) ) -uid 2375,0 +uid 2859,0 ) *17 (LogPort port (LogicalPort @@ -108,10 +108,10 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 245,0 +suid 301,0 ) ) -uid 2377,0 +uid 2861,0 ) *18 (LogPort port (LogicalPort @@ -122,23 +122,23 @@ n "Power" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 246,0 +suid 302,0 ) ) -uid 2379,0 +uid 2863,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 -suid 247,0 +suid 303,0 ) ) -uid 2381,0 +uid 2865,0 ) *20 (LogPort port (LogicalPort @@ -148,10 +148,10 @@ n "power_cruse" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 248,0 +suid 304,0 ) ) -uid 2383,0 +uid 2867,0 ) *21 (LogPort port (LogicalPort @@ -161,10 +161,10 @@ n "power_deceleration" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 249,0 +suid 305,0 ) ) -uid 2385,0 +uid 2869,0 ) *22 (LogPort port (LogicalPort @@ -173,10 +173,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 250,0 +suid 306,0 ) ) -uid 2387,0 +uid 2871,0 ) *23 (LogPort port (LogicalPort @@ -186,10 +186,10 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 251,0 +suid 307,0 ) ) -uid 2389,0 +uid 2873,0 ) *24 (LogPort port (LogicalPort @@ -198,10 +198,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 252,0 +suid 308,0 ) ) -uid 2391,0 +uid 2875,0 ) *25 (LogPort port (LogicalPort @@ -210,10 +210,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 253,0 +suid 309,0 ) ) -uid 2393,0 +uid 2877,0 ) *26 (LogPort port (LogicalPort @@ -222,10 +222,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 254,0 +suid 310,0 ) ) -uid 2395,0 +uid 2879,0 ) *27 (LogPort port (LogicalPort @@ -235,10 +235,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 255,0 +suid 311,0 ) ) -uid 2397,0 +uid 2881,0 ) ] ) @@ -294,85 +294,85 @@ uid 162,0 litem &14 pos 0 dimension 20 -uid 2372,0 +uid 2856,0 ) *34 (MRCItem litem &15 pos 1 dimension 20 -uid 2374,0 +uid 2858,0 ) *35 (MRCItem litem &16 pos 2 dimension 20 -uid 2376,0 +uid 2860,0 ) *36 (MRCItem litem &17 pos 3 dimension 20 -uid 2378,0 +uid 2862,0 ) *37 (MRCItem litem &18 pos 4 dimension 20 -uid 2380,0 +uid 2864,0 ) *38 (MRCItem litem &19 pos 5 dimension 20 -uid 2382,0 +uid 2866,0 ) *39 (MRCItem litem &20 pos 6 dimension 20 -uid 2384,0 +uid 2868,0 ) *40 (MRCItem litem &21 pos 7 dimension 20 -uid 2386,0 +uid 2870,0 ) *41 (MRCItem litem &22 pos 8 dimension 20 -uid 2388,0 +uid 2872,0 ) *42 (MRCItem litem &23 pos 9 dimension 20 -uid 2390,0 +uid 2874,0 ) *43 (MRCItem litem &24 pos 10 dimension 20 -uid 2392,0 +uid 2876,0 ) *44 (MRCItem litem &25 pos 11 dimension 20 -uid 2394,0 +uid 2878,0 ) *45 (MRCItem litem &26 pos 12 dimension 20 -uid 2396,0 +uid 2880,0 ) *46 (MRCItem litem &27 pos 13 dimension 20 -uid 2398,0 +uid 2882,0 ) ] ) @@ -647,19 +647,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -687,7 +687,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -699,7 +699,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -723,7 +723,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -731,11 +731,11 @@ value "move" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -771,7 +771,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -791,11 +791,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -806,10 +806,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *80 (CptPort -uid 2301,0 +uid 2785,0 ps "OnEdgeStrategy" shape (Triangle -uid 2302,0 +uid 2786,0 ro 90 va (VaSet vasetType 1 @@ -818,11 +818,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 2303,0 +uid 2787,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2304,0 +uid 2788,0 va (VaSet font "Verdana,12,0" ) @@ -833,7 +833,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2305,0 +uid 2789,0 va (VaSet font "Courier New,8,0" ) @@ -847,15 +847,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 242,0 +suid 298,0 ) ) ) *81 (CptPort -uid 2306,0 +uid 2790,0 ps "OnEdgeStrategy" shape (Triangle -uid 2307,0 +uid 2791,0 ro 180 va (VaSet vasetType 1 @@ -864,11 +864,11 @@ fg "0,65535,0" xt "35625,5250,36375,6000" ) tg (CPTG -uid 2308,0 +uid 2792,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2309,0 +uid 2793,0 ro 270 va (VaSet font "Verdana,12,0" @@ -881,7 +881,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2310,0 +uid 2794,0 va (VaSet font "Courier New,8,0" ) @@ -895,15 +895,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 243,0 +suid 299,0 ) ) ) *82 (CptPort -uid 2311,0 +uid 2795,0 ps "OnEdgeStrategy" shape (Triangle -uid 2312,0 +uid 2796,0 ro 180 va (VaSet vasetType 1 @@ -912,11 +912,11 @@ fg "0,65535,0" xt "62625,5250,63375,6000" ) tg (CPTG -uid 2313,0 +uid 2797,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2314,0 +uid 2798,0 ro 270 va (VaSet font "Verdana,12,0" @@ -929,7 +929,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2315,0 +uid 2799,0 va (VaSet font "Courier New,8,0" ) @@ -943,15 +943,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 244,0 +suid 300,0 ) ) ) *83 (CptPort -uid 2316,0 +uid 2800,0 ps "OnEdgeStrategy" shape (Triangle -uid 2317,0 +uid 2801,0 ro 180 va (VaSet vasetType 1 @@ -960,11 +960,11 @@ fg "0,65535,0" xt "89625,5250,90375,6000" ) tg (CPTG -uid 2318,0 +uid 2802,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2319,0 +uid 2803,0 ro 270 va (VaSet font "Verdana,12,0" @@ -977,7 +977,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2320,0 +uid 2804,0 va (VaSet font "Courier New,8,0" ) @@ -991,15 +991,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 245,0 +suid 301,0 ) ) ) *84 (CptPort -uid 2321,0 +uid 2805,0 ps "OnEdgeStrategy" shape (Triangle -uid 2322,0 +uid 2806,0 ro 180 va (VaSet vasetType 1 @@ -1008,11 +1008,11 @@ fg "0,65535,0" xt "33625,12000,34375,12750" ) tg (CPTG -uid 2323,0 +uid 2807,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2324,0 +uid 2808,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1024,7 +1024,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2325,0 +uid 2809,0 va (VaSet font "Courier New,8,0" ) @@ -1040,15 +1040,15 @@ n "Power" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 246,0 +suid 302,0 ) ) ) *85 (CptPort -uid 2326,0 +uid 2810,0 ps "OnEdgeStrategy" shape (Triangle -uid 2327,0 +uid 2811,0 ro 180 va (VaSet vasetType 1 @@ -1057,11 +1057,11 @@ fg "0,65535,0" xt "33625,5250,34375,6000" ) tg (CPTG -uid 2328,0 +uid 2812,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2329,0 +uid 2813,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1074,30 +1074,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2330,0 +uid 2814,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,71500,6400" -st "power_acceleration : IN unsigned (7 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "power_acceleration : IN std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 -suid 247,0 +suid 303,0 ) ) ) *86 (CptPort -uid 2331,0 +uid 2815,0 ps "OnEdgeStrategy" shape (Triangle -uid 2332,0 +uid 2816,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1106,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 2333,0 +uid 2817,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2334,0 +uid 2818,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1123,7 +1123,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2335,0 +uid 2819,0 va (VaSet font "Courier New,8,0" ) @@ -1138,15 +1138,15 @@ n "power_cruse" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 248,0 +suid 304,0 ) ) ) *87 (CptPort -uid 2336,0 +uid 2820,0 ps "OnEdgeStrategy" shape (Triangle -uid 2337,0 +uid 2821,0 ro 180 va (VaSet vasetType 1 @@ -1155,11 +1155,11 @@ fg "0,65535,0" xt "87625,5250,88375,6000" ) tg (CPTG -uid 2338,0 +uid 2822,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2339,0 +uid 2823,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1172,7 +1172,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2340,0 +uid 2824,0 va (VaSet font "Courier New,8,0" ) @@ -1187,15 +1187,15 @@ n "power_deceleration" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 249,0 +suid 305,0 ) ) ) *88 (CptPort -uid 2341,0 +uid 2825,0 ps "OnEdgeStrategy" shape (Triangle -uid 2342,0 +uid 2826,0 ro 90 va (VaSet vasetType 1 @@ -1204,11 +1204,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 2343,0 +uid 2827,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2344,0 +uid 2828,0 va (VaSet font "Verdana,12,0" ) @@ -1219,7 +1219,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2345,0 +uid 2829,0 va (VaSet font "Courier New,8,0" ) @@ -1233,15 +1233,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 250,0 +suid 306,0 ) ) ) *89 (CptPort -uid 2346,0 +uid 2830,0 ps "OnEdgeStrategy" shape (Triangle -uid 2347,0 +uid 2831,0 ro 180 va (VaSet vasetType 1 @@ -1250,11 +1250,11 @@ fg "0,65535,0" xt "31625,12000,32375,12750" ) tg (CPTG -uid 2348,0 +uid 2832,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2349,0 +uid 2833,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1266,7 +1266,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2350,0 +uid 2834,0 va (VaSet font "Courier New,8,0" ) @@ -1281,15 +1281,15 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 251,0 +suid 307,0 ) ) ) *90 (CptPort -uid 2351,0 +uid 2835,0 ps "OnEdgeStrategy" shape (Triangle -uid 2352,0 +uid 2836,0 ro 180 va (VaSet vasetType 1 @@ -1298,11 +1298,11 @@ fg "0,65535,0" xt "31625,5250,32375,6000" ) tg (CPTG -uid 2353,0 +uid 2837,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2354,0 +uid 2838,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1315,7 +1315,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2355,0 +uid 2839,0 va (VaSet font "Courier New,8,0" ) @@ -1329,15 +1329,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 252,0 +suid 308,0 ) ) ) *91 (CptPort -uid 2356,0 +uid 2840,0 ps "OnEdgeStrategy" shape (Triangle -uid 2357,0 +uid 2841,0 ro 180 va (VaSet vasetType 1 @@ -1346,11 +1346,11 @@ fg "0,65535,0" xt "58625,5250,59375,6000" ) tg (CPTG -uid 2358,0 +uid 2842,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2359,0 +uid 2843,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1363,7 +1363,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2360,0 +uid 2844,0 va (VaSet font "Courier New,8,0" ) @@ -1377,15 +1377,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 253,0 +suid 309,0 ) ) ) *92 (CptPort -uid 2361,0 +uid 2845,0 ps "OnEdgeStrategy" shape (Triangle -uid 2362,0 +uid 2846,0 ro 180 va (VaSet vasetType 1 @@ -1394,11 +1394,11 @@ fg "0,65535,0" xt "85625,5250,86375,6000" ) tg (CPTG -uid 2363,0 +uid 2847,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2364,0 +uid 2848,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1411,7 +1411,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2365,0 +uid 2849,0 va (VaSet font "Courier New,8,0" ) @@ -1425,15 +1425,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 254,0 +suid 310,0 ) ) ) *93 (CptPort -uid 2366,0 +uid 2850,0 ps "OnEdgeStrategy" shape (Triangle -uid 2367,0 +uid 2851,0 ro 90 va (VaSet vasetType 1 @@ -1442,11 +1442,11 @@ fg "0,65535,0" xt "93000,9625,93750,10375" ) tg (CPTG -uid 2368,0 +uid 2852,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2369,0 +uid 2853,0 va (VaSet font "Verdana,12,0" ) @@ -1458,7 +1458,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2370,0 +uid 2854,0 va (VaSet font "Courier New,8,0" ) @@ -1473,7 +1473,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 255,0 +suid 311,0 ) ) ) @@ -1562,7 +1562,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -2186,6 +2186,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 2398,0 +lastUid 2882,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/interface b/Cursor/hds/process_acceleration/interface index ad27ee6..b23e3a7 100644 --- a/Cursor/hds/process_acceleration/interface +++ b/Cursor/hds/process_acceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 210,0 +suid 237,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 202,0 +suid 229,0 ) ) -uid 2457,0 +uid 2738,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 203,0 +suid 230,0 ) ) -uid 2459,0 +uid 2740,0 ) *16 (LogPort port (LogicalPort @@ -98,10 +98,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 204,0 +suid 231,0 ) ) -uid 2461,0 +uid 2742,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 205,0 +suid 232,0 ) ) -uid 2463,0 +uid 2744,0 ) *18 (LogPort port (LogicalPort @@ -122,13 +122,13 @@ lang 11 m 1 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 -suid 206,0 +suid 233,0 ) ) -uid 2465,0 +uid 2746,0 ) *19 (LogPort port (LogicalPort @@ -137,10 +137,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 207,0 +suid 234,0 ) ) -uid 2467,0 +uid 2748,0 ) *20 (LogPort port (LogicalPort @@ -150,10 +150,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 208,0 +suid 235,0 ) ) -uid 2469,0 +uid 2750,0 ) *21 (LogPort port (LogicalPort @@ -162,10 +162,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 209,0 +suid 236,0 ) ) -uid 2471,0 +uid 2752,0 ) *22 (LogPort port (LogicalPort @@ -174,10 +174,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 210,0 +suid 237,0 ) ) -uid 2473,0 +uid 2754,0 ) ] ) @@ -233,55 +233,55 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 2458,0 +uid 2739,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 2460,0 +uid 2741,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 2462,0 +uid 2743,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 2464,0 +uid 2745,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 2466,0 +uid 2747,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 2468,0 +uid 2749,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 2470,0 +uid 2751,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 2472,0 +uid 2753,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 2474,0 +uid 2755,0 ) ] ) @@ -556,19 +556,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -596,7 +596,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -608,7 +608,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -632,7 +632,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -640,11 +640,11 @@ value "process_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -680,7 +680,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -700,11 +700,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -715,10 +715,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 2412,0 +uid 2693,0 ps "OnEdgeStrategy" shape (Triangle -uid 2413,0 +uid 2694,0 ro 90 va (VaSet vasetType 1 @@ -727,11 +727,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 2414,0 +uid 2695,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2415,0 +uid 2696,0 va (VaSet font "Verdana,12,0" ) @@ -742,7 +742,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2416,0 +uid 2697,0 va (VaSet font "Courier New,8,0" ) @@ -756,15 +756,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 202,0 +suid 229,0 ) ) ) *71 (CptPort -uid 2417,0 +uid 2698,0 ps "OnEdgeStrategy" shape (Triangle -uid 2418,0 +uid 2699,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +773,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 2419,0 +uid 2700,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2420,0 +uid 2701,0 ro 270 va (VaSet font "Verdana,12,0" @@ -789,7 +789,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2421,0 +uid 2702,0 va (VaSet font "Courier New,8,0" ) @@ -804,15 +804,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 203,0 +suid 230,0 ) ) ) *72 (CptPort -uid 2422,0 +uid 2703,0 ps "OnEdgeStrategy" shape (Triangle -uid 2423,0 +uid 2704,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +821,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 2424,0 +uid 2705,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2425,0 +uid 2706,0 ro 270 va (VaSet font "Verdana,12,0" @@ -838,7 +838,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2426,0 +uid 2707,0 va (VaSet font "Courier New,8,0" ) @@ -853,15 +853,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 204,0 +suid 231,0 ) ) ) *73 (CptPort -uid 2427,0 +uid 2708,0 ps "OnEdgeStrategy" shape (Triangle -uid 2428,0 +uid 2709,0 ro 180 va (VaSet vasetType 1 @@ -870,11 +870,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 2429,0 +uid 2710,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2430,0 +uid 2711,0 ro 270 va (VaSet font "Verdana,12,0" @@ -887,7 +887,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2431,0 +uid 2712,0 va (VaSet font "Courier New,8,0" ) @@ -902,15 +902,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 205,0 +suid 232,0 ) ) ) *74 (CptPort -uid 2432,0 +uid 2713,0 ps "OnEdgeStrategy" shape (Triangle -uid 2433,0 +uid 2714,0 ro 180 va (VaSet vasetType 1 @@ -919,11 +919,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 2434,0 +uid 2715,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2435,0 +uid 2716,0 ro 270 va (VaSet font "Verdana,12,0" @@ -935,12 +935,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2436,0 +uid 2717,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71500,8800" -st "power_acceleration : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8000,76000,8800" +st "power_acceleration : OUT std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -948,18 +948,18 @@ lang 11 m 1 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 22 -suid 206,0 +suid 233,0 ) ) ) *75 (CptPort -uid 2437,0 +uid 2718,0 ps "OnEdgeStrategy" shape (Triangle -uid 2438,0 +uid 2719,0 ro 90 va (VaSet vasetType 1 @@ -968,11 +968,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 2439,0 +uid 2720,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2440,0 +uid 2721,0 va (VaSet font "Verdana,12,0" ) @@ -983,7 +983,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2441,0 +uid 2722,0 va (VaSet font "Courier New,8,0" ) @@ -997,15 +997,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 207,0 +suid 234,0 ) ) ) *76 (CptPort -uid 2442,0 +uid 2723,0 ps "OnEdgeStrategy" shape (Triangle -uid 2443,0 +uid 2724,0 ro 180 va (VaSet vasetType 1 @@ -1014,11 +1014,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 2444,0 +uid 2725,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2445,0 +uid 2726,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1030,7 +1030,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2446,0 +uid 2727,0 va (VaSet font "Courier New,8,0" ) @@ -1045,15 +1045,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 208,0 +suid 235,0 ) ) ) *77 (CptPort -uid 2447,0 +uid 2728,0 ps "OnEdgeStrategy" shape (Triangle -uid 2448,0 +uid 2729,0 ro 180 va (VaSet vasetType 1 @@ -1062,11 +1062,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 2449,0 +uid 2730,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2450,0 +uid 2731,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1079,7 +1079,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2451,0 +uid 2732,0 va (VaSet font "Courier New,8,0" ) @@ -1093,15 +1093,15 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 209,0 +suid 236,0 ) ) ) *78 (CptPort -uid 2452,0 +uid 2733,0 ps "OnEdgeStrategy" shape (Triangle -uid 2453,0 +uid 2734,0 ro 270 va (VaSet vasetType 1 @@ -1110,11 +1110,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 2454,0 +uid 2735,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 2455,0 +uid 2736,0 va (VaSet font "Verdana,12,0" ) @@ -1126,7 +1126,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 2456,0 +uid 2737,0 va (VaSet font "Courier New,8,0" ) @@ -1140,7 +1140,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 210,0 +suid 237,0 ) ) ) @@ -1229,7 +1229,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1853,6 +1853,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 2474,0 +lastUid 2755,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/struct1.bd b/Cursor/hds/process_acceleration/struct1.bd index 36fd75e..4b568bd 100644 --- a/Cursor/hds/process_acceleration/struct1.bd +++ b/Cursor/hds/process_acceleration/struct1.bd @@ -97,19 +97,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -137,7 +137,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -149,7 +149,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:07" +value "14:00:49" ) (vvPair variable "group" @@ -173,7 +173,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -181,11 +181,11 @@ value "process_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -221,7 +221,7 @@ value "struct1" ) (vvPair variable "time" -value "15:59:07" +value "14:00:49" ) (vvPair variable "unit" @@ -241,11 +241,11 @@ value "struct1" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -302,7 +302,7 @@ uid 21,0 lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 suid 1,0 ) @@ -623,7 +623,7 @@ uid 91,0 lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 6,0 ) @@ -724,7 +724,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "22200,48000,31400,49000" +xt "22200,48000,31600,49000" st " by %user on %dd %month %year " @@ -2563,12 +2563,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "-30000,-15600,79956,51936" +windowSize "816,192,2354,1152" +viewArea "-30000,-15600,80040,54288" cachedDiagramExtent "-15300,-6200,59400,49000" hasePageBreakOrigin 1 pageBreakOrigin "-87000,-49000" -lastUid 1867,0 +lastUid 1946,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3721,7 +3721,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 suid 1,0 ) @@ -3733,7 +3733,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 6,0 ) diff --git a/Cursor/hds/process_cruse/interface b/Cursor/hds/process_cruse/interface index ef4b979..ab42796 100644 --- a/Cursor/hds/process_cruse/interface +++ b/Cursor/hds/process_cruse/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 126,0 +suid 162,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 118,0 +suid 154,0 ) ) -uid 1478,0 +uid 1822,0 ) *15 (LogPort port (LogicalPort @@ -85,23 +85,23 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 119,0 +suid 155,0 ) ) -uid 1480,0 +uid 1824,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 120,0 +suid 156,0 ) ) -uid 1482,0 +uid 1826,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 121,0 +suid 157,0 ) ) -uid 1484,0 +uid 1828,0 ) *18 (LogPort port (LogicalPort @@ -125,10 +125,10 @@ n "power_cruse" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 122,0 +suid 158,0 ) ) -uid 1486,0 +uid 1830,0 ) *19 (LogPort port (LogicalPort @@ -137,10 +137,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 123,0 +suid 159,0 ) ) -uid 1488,0 +uid 1832,0 ) *20 (LogPort port (LogicalPort @@ -150,10 +150,10 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 124,0 +suid 160,0 ) ) -uid 1490,0 +uid 1834,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 125,0 +suid 161,0 ) ) -uid 1492,0 +uid 1836,0 ) *22 (LogPort port (LogicalPort @@ -175,10 +175,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 126,0 +suid 162,0 ) ) -uid 1494,0 +uid 1838,0 ) ] ) @@ -234,55 +234,55 @@ uid 120,0 litem &14 pos 0 dimension 20 -uid 1479,0 +uid 1823,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1481,0 +uid 1825,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1483,0 +uid 1827,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1485,0 +uid 1829,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1487,0 +uid 1831,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1489,0 +uid 1833,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1491,0 +uid 1835,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1493,0 +uid 1837,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1495,0 +uid 1839,0 ) ] ) @@ -557,19 +557,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -597,7 +597,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -609,7 +609,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -633,7 +633,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -641,11 +641,11 @@ value "process_cruse" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -681,7 +681,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -701,11 +701,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -716,10 +716,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1433,0 +uid 1777,0 ps "OnEdgeStrategy" shape (Triangle -uid 1434,0 +uid 1778,0 ro 90 va (VaSet vasetType 1 @@ -728,11 +728,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1435,0 +uid 1779,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1436,0 +uid 1780,0 va (VaSet font "Verdana,12,0" ) @@ -743,7 +743,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1437,0 +uid 1781,0 va (VaSet font "Courier New,8,0" ) @@ -757,15 +757,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 118,0 +suid 154,0 ) ) ) *71 (CptPort -uid 1438,0 +uid 1782,0 ps "OnEdgeStrategy" shape (Triangle -uid 1439,0 +uid 1783,0 ro 180 va (VaSet vasetType 1 @@ -774,11 +774,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 1440,0 +uid 1784,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1441,0 +uid 1785,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,7 +790,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1442,0 +uid 1786,0 va (VaSet font "Courier New,8,0" ) @@ -805,15 +805,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 119,0 +suid 155,0 ) ) ) *72 (CptPort -uid 1443,0 +uid 1787,0 ps "OnEdgeStrategy" shape (Triangle -uid 1444,0 +uid 1788,0 ro 180 va (VaSet vasetType 1 @@ -822,11 +822,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1445,0 +uid 1789,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1446,0 +uid 1790,0 ro 270 va (VaSet font "Verdana,12,0" @@ -839,30 +839,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1447,0 +uid 1791,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,68500,4800" -st "info_cruse : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,73000,4800" +st "info_cruse : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 120,0 +suid 156,0 ) ) ) *73 (CptPort -uid 1448,0 +uid 1792,0 ps "OnEdgeStrategy" shape (Triangle -uid 1449,0 +uid 1793,0 ro 180 va (VaSet vasetType 1 @@ -871,11 +871,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1450,0 +uid 1794,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1451,0 +uid 1795,0 ro 270 va (VaSet font "Verdana,12,0" @@ -888,7 +888,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1452,0 +uid 1796,0 va (VaSet font "Courier New,8,0" ) @@ -903,15 +903,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 121,0 +suid 157,0 ) ) ) *74 (CptPort -uid 1453,0 +uid 1797,0 ps "OnEdgeStrategy" shape (Triangle -uid 1454,0 +uid 1798,0 ro 180 va (VaSet vasetType 1 @@ -920,11 +920,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 1455,0 +uid 1799,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1456,0 +uid 1800,0 ro 270 va (VaSet font "Verdana,12,0" @@ -936,7 +936,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1457,0 +uid 1801,0 va (VaSet font "Courier New,8,0" ) @@ -952,15 +952,15 @@ n "power_cruse" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 122,0 +suid 158,0 ) ) ) *75 (CptPort -uid 1458,0 +uid 1802,0 ps "OnEdgeStrategy" shape (Triangle -uid 1459,0 +uid 1803,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1460,0 +uid 1804,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1461,0 +uid 1805,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1462,0 +uid 1806,0 va (VaSet font "Courier New,8,0" ) @@ -998,15 +998,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 123,0 +suid 159,0 ) ) ) *76 (CptPort -uid 1463,0 +uid 1807,0 ps "OnEdgeStrategy" shape (Triangle -uid 1464,0 +uid 1808,0 ro 270 va (VaSet vasetType 1 @@ -1015,11 +1015,11 @@ fg "0,65535,0" xt "36000,12625,36750,13375" ) tg (CPTG -uid 1465,0 +uid 1809,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1466,0 +uid 1810,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1467,0 +uid 1811,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 124,0 +suid 160,0 ) ) ) *77 (CptPort -uid 1468,0 +uid 1812,0 ps "OnEdgeStrategy" shape (Triangle -uid 1469,0 +uid 1813,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 1470,0 +uid 1814,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1471,0 +uid 1815,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1079,7 +1079,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1472,0 +uid 1816,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 125,0 +suid 161,0 ) ) ) *78 (CptPort -uid 1473,0 +uid 1817,0 ps "OnEdgeStrategy" shape (Triangle -uid 1474,0 +uid 1818,0 ro 270 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "36000,10625,36750,11375" ) tg (CPTG -uid 1475,0 +uid 1819,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1476,0 +uid 1820,0 va (VaSet font "Verdana,12,0" ) @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1477,0 +uid 1821,0 va (VaSet font "Courier New,8,0" ) @@ -1141,7 +1141,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 126,0 +suid 162,0 ) ) ) @@ -1230,7 +1230,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1854,6 +1854,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1495,0 +lastUid 1839,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_deceleration/interface b/Cursor/hds/process_deceleration/interface index 7906c7d..c108d06 100644 --- a/Cursor/hds/process_deceleration/interface +++ b/Cursor/hds/process_deceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 120,0 +suid 160,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 111,0 +suid 151,0 ) ) -uid 1296,0 +uid 1668,0 ) *15 (LogPort port (LogicalPort @@ -85,23 +85,23 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 112,0 +suid 152,0 ) ) -uid 1298,0 +uid 1670,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 113,0 +suid 153,0 ) ) -uid 1300,0 +uid 1672,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 114,0 +suid 154,0 ) ) -uid 1302,0 +uid 1674,0 ) *18 (LogPort port (LogicalPort @@ -125,10 +125,10 @@ n "power_deceleration" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 115,0 +suid 155,0 ) ) -uid 1304,0 +uid 1676,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 116,0 +suid 156,0 ) ) -uid 1306,0 +uid 1678,0 ) *20 (LogPort port (LogicalPort @@ -150,10 +150,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 117,0 +suid 157,0 ) ) -uid 1308,0 +uid 1680,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 118,0 +suid 158,0 ) ) -uid 1310,0 +uid 1682,0 ) *22 (LogPort port (LogicalPort @@ -175,10 +175,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 119,0 +suid 159,0 ) ) -uid 1312,0 +uid 1684,0 ) *23 (LogPort port (LogicalPort @@ -187,10 +187,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 120,0 +suid 160,0 ) ) -uid 1314,0 +uid 1686,0 ) ] ) @@ -246,61 +246,61 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1297,0 +uid 1669,0 ) *30 (MRCItem litem &15 pos 1 dimension 20 -uid 1299,0 +uid 1671,0 ) *31 (MRCItem litem &16 pos 2 dimension 20 -uid 1301,0 +uid 1673,0 ) *32 (MRCItem litem &17 pos 3 dimension 20 -uid 1303,0 +uid 1675,0 ) *33 (MRCItem litem &18 pos 4 dimension 20 -uid 1305,0 +uid 1677,0 ) *34 (MRCItem litem &19 pos 5 dimension 20 -uid 1307,0 +uid 1679,0 ) *35 (MRCItem litem &20 pos 6 dimension 20 -uid 1309,0 +uid 1681,0 ) *36 (MRCItem litem &21 pos 7 dimension 20 -uid 1311,0 +uid 1683,0 ) *37 (MRCItem litem &22 pos 8 dimension 20 -uid 1313,0 +uid 1685,0 ) *38 (MRCItem litem &23 pos 9 dimension 20 -uid 1315,0 +uid 1687,0 ) ] ) @@ -575,19 +575,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -615,7 +615,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -627,7 +627,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -651,7 +651,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -659,11 +659,11 @@ value "process_deceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -699,7 +699,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -719,11 +719,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -734,10 +734,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *72 (CptPort -uid 1246,0 +uid 1618,0 ps "OnEdgeStrategy" shape (Triangle -uid 1247,0 +uid 1619,0 ro 90 va (VaSet vasetType 1 @@ -746,11 +746,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1248,0 +uid 1620,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1249,0 +uid 1621,0 va (VaSet font "Verdana,12,0" ) @@ -761,7 +761,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1250,0 +uid 1622,0 va (VaSet font "Courier New,8,0" ) @@ -775,15 +775,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 111,0 +suid 151,0 ) ) ) *73 (CptPort -uid 1251,0 +uid 1623,0 ps "OnEdgeStrategy" shape (Triangle -uid 1252,0 +uid 1624,0 ro 180 va (VaSet vasetType 1 @@ -792,11 +792,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 1253,0 +uid 1625,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1254,0 +uid 1626,0 ro 270 va (VaSet font "Verdana,12,0" @@ -808,7 +808,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1255,0 +uid 1627,0 va (VaSet font "Courier New,8,0" ) @@ -823,15 +823,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 112,0 +suid 152,0 ) ) ) *74 (CptPort -uid 1256,0 +uid 1628,0 ps "OnEdgeStrategy" shape (Triangle -uid 1257,0 +uid 1629,0 ro 180 va (VaSet vasetType 1 @@ -840,11 +840,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1258,0 +uid 1630,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1259,0 +uid 1631,0 ro 270 va (VaSet font "Verdana,12,0" @@ -857,30 +857,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1260,0 +uid 1632,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_deceleration : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,76500,4800" +st "info_deceleration : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 113,0 +suid 153,0 ) ) ) *75 (CptPort -uid 1261,0 +uid 1633,0 ps "OnEdgeStrategy" shape (Triangle -uid 1262,0 +uid 1634,0 ro 180 va (VaSet vasetType 1 @@ -889,11 +889,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1263,0 +uid 1635,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1264,0 +uid 1636,0 ro 270 va (VaSet font "Verdana,12,0" @@ -906,7 +906,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1265,0 +uid 1637,0 va (VaSet font "Courier New,8,0" ) @@ -921,15 +921,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 114,0 +suid 154,0 ) ) ) *76 (CptPort -uid 1266,0 +uid 1638,0 ps "OnEdgeStrategy" shape (Triangle -uid 1267,0 +uid 1639,0 ro 180 va (VaSet vasetType 1 @@ -938,11 +938,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 1268,0 +uid 1640,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1269,0 +uid 1641,0 ro 270 va (VaSet font "Verdana,12,0" @@ -954,7 +954,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1270,0 +uid 1642,0 va (VaSet font "Courier New,8,0" ) @@ -970,15 +970,15 @@ n "power_deceleration" t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 115,0 +suid 155,0 ) ) ) *77 (CptPort -uid 1271,0 +uid 1643,0 ps "OnEdgeStrategy" shape (Triangle -uid 1272,0 +uid 1644,0 ro 90 va (VaSet vasetType 1 @@ -987,11 +987,11 @@ fg "0,65535,0" xt "36000,8625,36750,9375" ) tg (CPTG -uid 1273,0 +uid 1645,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1274,0 +uid 1646,0 va (VaSet font "Verdana,12,0" ) @@ -1003,7 +1003,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1275,0 +uid 1647,0 va (VaSet font "Courier New,8,0" ) @@ -1018,15 +1018,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 116,0 +suid 156,0 ) ) ) *78 (CptPort -uid 1276,0 +uid 1648,0 ps "OnEdgeStrategy" shape (Triangle -uid 1277,0 +uid 1649,0 ro 90 va (VaSet vasetType 1 @@ -1035,11 +1035,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1278,0 +uid 1650,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1279,0 +uid 1651,0 va (VaSet font "Verdana,12,0" ) @@ -1050,7 +1050,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1280,0 +uid 1652,0 va (VaSet font "Courier New,8,0" ) @@ -1064,15 +1064,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 117,0 +suid 157,0 ) ) ) *79 (CptPort -uid 1281,0 +uid 1653,0 ps "OnEdgeStrategy" shape (Triangle -uid 1282,0 +uid 1654,0 ro 180 va (VaSet vasetType 1 @@ -1081,11 +1081,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 1283,0 +uid 1655,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1284,0 +uid 1656,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1097,7 +1097,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1285,0 +uid 1657,0 va (VaSet font "Courier New,8,0" ) @@ -1112,15 +1112,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 118,0 +suid 158,0 ) ) ) *80 (CptPort -uid 1286,0 +uid 1658,0 ps "OnEdgeStrategy" shape (Triangle -uid 1287,0 +uid 1659,0 ro 180 va (VaSet vasetType 1 @@ -1129,11 +1129,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 1288,0 +uid 1660,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1289,0 +uid 1661,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1146,7 +1146,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1290,0 +uid 1662,0 va (VaSet font "Courier New,8,0" ) @@ -1160,15 +1160,15 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 119,0 +suid 159,0 ) ) ) *81 (CptPort -uid 1291,0 +uid 1663,0 ps "OnEdgeStrategy" shape (Triangle -uid 1292,0 +uid 1664,0 ro 270 va (VaSet vasetType 1 @@ -1177,11 +1177,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 1293,0 +uid 1665,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1294,0 +uid 1666,0 va (VaSet font "Verdana,12,0" ) @@ -1193,7 +1193,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1295,0 +uid 1667,0 va (VaSet font "Courier New,8,0" ) @@ -1207,7 +1207,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 120,0 +suid 160,0 ) ) ) @@ -1296,7 +1296,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1920,6 +1920,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1315,0 +lastUid 1687,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface index 15a5c8f..fb48fe3 100644 --- a/Cursor/hds/selector_acceleration/interface +++ b/Cursor/hds/selector_acceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 144,0 +suid 180,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,10 +73,10 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 136,0 +suid 172,0 ) ) -uid 1650,0 +uid 1994,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 137,0 +suid 173,0 ) ) -uid 1652,0 +uid 1996,0 ) *16 (LogPort port (LogicalPort @@ -99,36 +99,36 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 138,0 +suid 174,0 ) ) -uid 1654,0 +uid 1998,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 139,0 +suid 175,0 ) ) -uid 1656,0 +uid 2000,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 140,0 +suid 176,0 ) ) -uid 1658,0 +uid 2002,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 141,0 +suid 177,0 ) ) -uid 1660,0 +uid 2004,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 142,0 +suid 178,0 ) ) -uid 1662,0 +uid 2006,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 143,0 +suid 179,0 ) ) -uid 1664,0 +uid 2008,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 144,0 +suid 180,0 ) ) -uid 1666,0 +uid 2010,0 ) ] ) @@ -235,55 +235,55 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 1651,0 +uid 1995,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1653,0 +uid 1997,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1655,0 +uid 1999,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1657,0 +uid 2001,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1659,0 +uid 2003,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1661,0 +uid 2005,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1663,0 +uid 2007,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1665,0 +uid 2009,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1667,0 +uid 2011,0 ) ] ) @@ -558,19 +558,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -598,7 +598,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -634,7 +634,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -642,11 +642,11 @@ value "selector_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -702,11 +702,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1605,0 +uid 1949,0 ps "OnEdgeStrategy" shape (Triangle -uid 1606,0 +uid 1950,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 1607,0 +uid 1951,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1608,0 +uid 1952,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1609,0 +uid 1953,0 va (VaSet font "Courier New,8,0" ) @@ -761,15 +761,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 136,0 +suid 172,0 ) ) ) *71 (CptPort -uid 1610,0 +uid 1954,0 ps "OnEdgeStrategy" shape (Triangle -uid 1611,0 +uid 1955,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 1612,0 +uid 1956,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1613,0 +uid 1957,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1614,0 +uid 1958,0 va (VaSet font "Courier New,8,0" ) @@ -809,15 +809,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 137,0 +suid 173,0 ) ) ) *72 (CptPort -uid 1615,0 +uid 1959,0 ps "OnEdgeStrategy" shape (Triangle -uid 1616,0 +uid 1960,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1617,0 +uid 1961,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1618,0 +uid 1962,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1619,0 +uid 1963,0 va (VaSet font "Courier New,8,0" ) @@ -858,15 +858,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 138,0 +suid 174,0 ) ) ) *73 (CptPort -uid 1620,0 +uid 1964,0 ps "OnEdgeStrategy" shape (Triangle -uid 1621,0 +uid 1965,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 1622,0 +uid 1966,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1623,0 +uid 1967,0 va (VaSet font "Verdana,12,0" ) @@ -890,30 +890,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1624,0 +uid 1968,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,76000,5600" -st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,4800,71500,5600" +st "pos1 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 139,0 +suid 175,0 ) ) ) *74 (CptPort -uid 1625,0 +uid 1969,0 ps "OnEdgeStrategy" shape (Triangle -uid 1626,0 +uid 1970,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1627,0 +uid 1971,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1628,0 +uid 1972,0 va (VaSet font "Verdana,12,0" ) @@ -937,30 +937,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1629,0 +uid 1973,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,76000,6400" -st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,5600,71500,6400" +st "pos2 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 140,0 +suid 176,0 ) ) ) *75 (CptPort -uid 1630,0 +uid 1974,0 ps "OnEdgeStrategy" shape (Triangle -uid 1631,0 +uid 1975,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1632,0 +uid 1976,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1633,0 +uid 1977,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1634,0 +uid 1978,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 141,0 +suid 177,0 ) ) ) *76 (CptPort -uid 1635,0 +uid 1979,0 ps "OnEdgeStrategy" shape (Triangle -uid 1636,0 +uid 1980,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1637,0 +uid 1981,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1638,0 +uid 1982,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1639,0 +uid 1983,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 142,0 +suid 178,0 ) ) ) *77 (CptPort -uid 1640,0 +uid 1984,0 ps "OnEdgeStrategy" shape (Triangle -uid 1641,0 +uid 1985,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 1642,0 +uid 1986,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1643,0 +uid 1987,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1644,0 +uid 1988,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 143,0 +suid 179,0 ) ) ) *78 (CptPort -uid 1645,0 +uid 1989,0 ps "OnEdgeStrategy" shape (Triangle -uid 1646,0 +uid 1990,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 1647,0 +uid 1991,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1648,0 +uid 1992,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1649,0 +uid 1993,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 144,0 +suid 180,0 ) ) ) @@ -1231,7 +1231,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1667,0 +lastUid 2011,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface index c4a3133..61e9428 100644 --- a/Cursor/hds/selector_cruse/interface +++ b/Cursor/hds/selector_cruse/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 112,0 +suid 144,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,10 +73,10 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 105,0 +suid 137,0 ) ) -uid 1359,0 +uid 1675,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 106,0 +suid 138,0 ) ) -uid 1361,0 +uid 1677,0 ) *16 (LogPort port (LogicalPort @@ -96,39 +96,39 @@ lang 11 m 1 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 107,0 +suid 139,0 ) ) -uid 1363,0 +uid 1679,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 108,0 +suid 140,0 ) ) -uid 1365,0 +uid 1681,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 109,0 +suid 141,0 ) ) -uid 1367,0 +uid 1683,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 110,0 +suid 142,0 ) ) -uid 1369,0 +uid 1685,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 111,0 +suid 143,0 ) ) -uid 1371,0 +uid 1687,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 112,0 +suid 144,0 ) ) -uid 1373,0 +uid 1689,0 ) ] ) @@ -222,49 +222,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1360,0 +uid 1676,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 1362,0 +uid 1678,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 1364,0 +uid 1680,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 1366,0 +uid 1682,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 1368,0 +uid 1684,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 1370,0 +uid 1686,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 1372,0 +uid 1688,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 1374,0 +uid 1690,0 ) ] ) @@ -539,19 +539,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -579,7 +579,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -591,7 +591,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -615,7 +615,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -623,11 +623,11 @@ value "selector_cruse" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -663,7 +663,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -683,11 +683,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -698,10 +698,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 1319,0 +uid 1635,0 ps "OnEdgeStrategy" shape (Triangle -uid 1320,0 +uid 1636,0 ro 180 va (VaSet vasetType 1 @@ -710,11 +710,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 1321,0 +uid 1637,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1322,0 +uid 1638,0 ro 270 va (VaSet font "Verdana,12,0" @@ -727,7 +727,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1323,0 +uid 1639,0 va (VaSet font "Courier New,8,0" ) @@ -742,15 +742,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 105,0 +suid 137,0 ) ) ) *69 (CptPort -uid 1324,0 +uid 1640,0 ps "OnEdgeStrategy" shape (Triangle -uid 1325,0 +uid 1641,0 ro 180 va (VaSet vasetType 1 @@ -759,11 +759,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 1326,0 +uid 1642,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1327,0 +uid 1643,0 ro 270 va (VaSet font "Verdana,12,0" @@ -776,7 +776,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1328,0 +uid 1644,0 va (VaSet font "Courier New,8,0" ) @@ -790,15 +790,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 106,0 +suid 138,0 ) ) ) *70 (CptPort -uid 1329,0 +uid 1645,0 ps "OnEdgeStrategy" shape (Triangle -uid 1330,0 +uid 1646,0 ro 180 va (VaSet vasetType 1 @@ -807,11 +807,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1331,0 +uid 1647,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1332,0 +uid 1648,0 ro 270 va (VaSet font "Verdana,12,0" @@ -823,12 +823,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1333,0 +uid 1649,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,67000,8800" -st "info_cruse : OUT unsigned (15 DOWNTO 0) +xt "44000,8000,71500,8800" +st "info_cruse : OUT std_ulogic_vector (15 DOWNTO 0) " ) thePort (LogicalPort @@ -836,18 +836,18 @@ lang 11 m 1 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 107,0 +suid 139,0 ) ) ) *71 (CptPort -uid 1334,0 +uid 1650,0 ps "OnEdgeStrategy" shape (Triangle -uid 1335,0 +uid 1651,0 ro 90 va (VaSet vasetType 1 @@ -856,11 +856,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1336,0 +uid 1652,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1337,0 +uid 1653,0 va (VaSet font "Verdana,12,0" ) @@ -871,30 +871,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1338,0 +uid 1654,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,72500,5600" -st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,4800,68000,5600" +st "pos1 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 108,0 +suid 140,0 ) ) ) *72 (CptPort -uid 1339,0 +uid 1655,0 ps "OnEdgeStrategy" shape (Triangle -uid 1340,0 +uid 1656,0 ro 90 va (VaSet vasetType 1 @@ -903,11 +903,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1341,0 +uid 1657,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1342,0 +uid 1658,0 va (VaSet font "Verdana,12,0" ) @@ -918,30 +918,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1343,0 +uid 1659,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,72500,6400" -st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,5600,68000,6400" +st "pos2 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 109,0 +suid 141,0 ) ) ) *73 (CptPort -uid 1344,0 +uid 1660,0 ps "OnEdgeStrategy" shape (Triangle -uid 1345,0 +uid 1661,0 ro 90 va (VaSet vasetType 1 @@ -950,11 +950,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 1346,0 +uid 1662,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1347,0 +uid 1663,0 va (VaSet font "Verdana,12,0" ) @@ -965,7 +965,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1348,0 +uid 1664,0 va (VaSet font "Courier New,8,0" ) @@ -980,15 +980,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 110,0 +suid 142,0 ) ) ) *74 (CptPort -uid 1349,0 +uid 1665,0 ps "OnEdgeStrategy" shape (Triangle -uid 1350,0 +uid 1666,0 ro 90 va (VaSet vasetType 1 @@ -997,11 +997,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1351,0 +uid 1667,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1352,0 +uid 1668,0 va (VaSet font "Verdana,12,0" ) @@ -1012,7 +1012,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1353,0 +uid 1669,0 va (VaSet font "Courier New,8,0" ) @@ -1027,15 +1027,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 111,0 +suid 143,0 ) ) ) *75 (CptPort -uid 1354,0 +uid 1670,0 ps "OnEdgeStrategy" shape (Triangle -uid 1355,0 +uid 1671,0 ro 180 va (VaSet vasetType 1 @@ -1044,11 +1044,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 1356,0 +uid 1672,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1357,0 +uid 1673,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1061,7 +1061,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1358,0 +uid 1674,0 va (VaSet font "Courier New,8,0" ) @@ -1075,7 +1075,7 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 112,0 +suid 144,0 ) ) ) @@ -1164,7 +1164,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1788,6 +1788,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1374,0 +lastUid 1690,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_deceleration/fsm.sm b/Cursor/hds/selector_deceleration/fsm.sm index 511e1a1..4790e9a 100644 --- a/Cursor/hds/selector_deceleration/fsm.sm +++ b/Cursor/hds/selector_deceleration/fsm.sm @@ -78,19 +78,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:44:39" +value "14:03:50" ) (vvPair variable "group" @@ -154,7 +154,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -162,11 +162,11 @@ value "selector_deceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "14:44:39" +value "14:03:50" ) (vvPair variable "unit" @@ -222,11 +222,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -1632,16 +1632,16 @@ optionalChildren [ uid 315,0 sl 0 ro 270 -xt "118183,1116,120433,2116" +xt "117551,-357,119801,643" ) (Line uid 316,0 sl 0 ro 270 -xt "117683,1616,118183,1616" +xt "117051,143,117551,143" pts [ -"117683,1616" -"118183,1616" +"117051,143" +"117551,143" ] ) ] @@ -1654,9 +1654,9 @@ uid 318,0 va (VaSet font "Verdana,9,1" ) -xt "120933,1116,126133,2316" +xt "120301,-357,125501,843" st "position1" -blo "120933,2116" +blo "120301,643" tm "LinkName" ) ) @@ -1930,10 +1930,10 @@ uid 342,0 va (VaSet vasetType 3 ) -xt "105689,1616,117683,6057" +xt "105689,143,117051,6057" pts [ "105689,6057" -"117683,1616" +"117051,143" ] ) start &21 @@ -1951,7 +1951,7 @@ fg "65535,65535,65535" bg "0,0,0" lineColor "0,32768,49152" ) -xt "108437,1846,119137,4046" +xt "108121,1110,118821,3310" ) autoResize 1 lineShape (Line @@ -1960,17 +1960,17 @@ va (VaSet vasetType 3 isHidden 1 ) -xt "111587,3946,111587,3946" +xt "111271,3210,111271,3210" pts [ -"111587,3946" -"111587,3946" +"111271,3210" +"111271,3210" ] ) condition (MLText uid 346,0 va (VaSet ) -xt "108937,2346,118637,3546" +xt "108621,1610,118321,2810" st "button = \"0010\"" tm "Condition" ) @@ -1978,7 +1978,7 @@ actions (MLText uid 347,0 va (VaSet ) -xt "113787,3946,113787,3946" +xt "113471,3210,113471,3210" tm "Actions" ) ) @@ -1992,17 +1992,17 @@ vasetType 1 fg "65535,65535,65535" bg "0,0,0" ) -xt "105824,4550,107950,6676" +xt "105761,4402,107887,6528" radius 1063 ) pr (Text uid 350,0 va (VaSet ) -xt "106187,5013,107587,6213" +xt "106124,4865,107524,6065" st "1" ju 0 -blo "106887,6013" +blo "106824,5865" tm "TransitionPriority" ) padding "100,100" @@ -2288,8 +2288,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "-400,-20100,137389,64532" +windowSize "1836,192,3374,1152" +viewArea "-400,-20100,137495,67479" cachedDiagramExtent "0,-1000,128724,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2665,7 +2665,7 @@ stateOrder [ name "csm" ) ] -lastUid 587,0 +lastUid 616,0 commonDM (CommonDM ldm (LogicalDM emptyRow *63 (LEmptyRow @@ -2765,7 +2765,7 @@ port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 4 ) @@ -2777,7 +2777,7 @@ port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 5 ) @@ -4064,6 +4064,6 @@ pts [ ] ) ) -activeModelName "StateMachine:CDM" +activeModelName "StateMachine" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface index 9fa3cc1..1ad22ee 100644 --- a/Cursor/hds/selector_deceleration/interface +++ b/Cursor/hds/selector_deceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 125,0 +suid 161,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,10 +73,10 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 117,0 +suid 153,0 ) ) -uid 1402,0 +uid 1769,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 118,0 +suid 154,0 ) ) -uid 1404,0 +uid 1771,0 ) *16 (LogPort port (LogicalPort @@ -96,39 +96,39 @@ lang 11 m 1 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 119,0 +suid 155,0 ) ) -uid 1406,0 +uid 1773,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 120,0 +suid 156,0 ) ) -uid 1408,0 +uid 1775,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 121,0 +suid 157,0 ) ) -uid 1410,0 +uid 1777,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 122,0 +suid 158,0 ) ) -uid 1412,0 +uid 1779,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 123,0 +suid 159,0 ) ) -uid 1414,0 +uid 1781,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 124,0 +suid 160,0 ) ) -uid 1416,0 +uid 1783,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 125,0 +suid 161,0 ) ) -uid 1418,0 +uid 1785,0 ) ] ) @@ -235,55 +235,55 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1403,0 +uid 1770,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1405,0 +uid 1772,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1407,0 +uid 1774,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1409,0 +uid 1776,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1411,0 +uid 1778,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1413,0 +uid 1780,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1415,0 +uid 1782,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1417,0 +uid 1784,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1419,0 +uid 1786,0 ) ] ) @@ -558,19 +558,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -598,7 +598,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -634,7 +634,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -642,11 +642,11 @@ value "selector_deceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -702,11 +702,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1357,0 +uid 1724,0 ps "OnEdgeStrategy" shape (Triangle -uid 1358,0 +uid 1725,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "15625,5250,16375,6000" ) tg (CPTG -uid 1359,0 +uid 1726,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1360,0 +uid 1727,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1361,0 +uid 1728,0 va (VaSet font "Courier New,8,0" ) @@ -761,15 +761,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 117,0 +suid 153,0 ) ) ) *71 (CptPort -uid 1362,0 +uid 1729,0 ps "OnEdgeStrategy" shape (Triangle -uid 1363,0 +uid 1730,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1364,0 +uid 1731,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1365,0 +uid 1732,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1366,0 +uid 1733,0 va (VaSet font "Courier New,8,0" ) @@ -809,15 +809,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 118,0 +suid 154,0 ) ) ) *72 (CptPort -uid 1367,0 +uid 1734,0 ps "OnEdgeStrategy" shape (Triangle -uid 1368,0 +uid 1735,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1369,0 +uid 1736,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1370,0 +uid 1737,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,12 +842,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1371,0 +uid 1738,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71500,8800" -st "info_deceleration : OUT unsigned (15 DOWNTO 0) ; +xt "44000,8000,76000,8800" +st "info_deceleration : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -855,18 +855,18 @@ lang 11 m 1 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 119,0 +suid 155,0 ) ) ) *73 (CptPort -uid 1372,0 +uid 1739,0 ps "OnEdgeStrategy" shape (Triangle -uid 1373,0 +uid 1740,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1374,0 +uid 1741,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1375,0 +uid 1742,0 va (VaSet font "Verdana,12,0" ) @@ -890,30 +890,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1376,0 +uid 1743,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,76000,5600" -st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,4800,71500,5600" +st "pos1 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 120,0 +suid 156,0 ) ) ) *74 (CptPort -uid 1377,0 +uid 1744,0 ps "OnEdgeStrategy" shape (Triangle -uid 1378,0 +uid 1745,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1379,0 +uid 1746,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1380,0 +uid 1747,0 va (VaSet font "Verdana,12,0" ) @@ -937,30 +937,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1381,0 +uid 1748,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,76000,6400" -st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,5600,71500,6400" +st "pos2 : IN unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 121,0 +suid 157,0 ) ) ) *75 (CptPort -uid 1382,0 +uid 1749,0 ps "OnEdgeStrategy" shape (Triangle -uid 1383,0 +uid 1750,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 1384,0 +uid 1751,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1385,0 +uid 1752,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1386,0 +uid 1753,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 122,0 +suid 158,0 ) ) ) *76 (CptPort -uid 1387,0 +uid 1754,0 ps "OnEdgeStrategy" shape (Triangle -uid 1388,0 +uid 1755,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1389,0 +uid 1756,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1390,0 +uid 1757,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1391,0 +uid 1758,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 123,0 +suid 159,0 ) ) ) *77 (CptPort -uid 1392,0 +uid 1759,0 ps "OnEdgeStrategy" shape (Triangle -uid 1393,0 +uid 1760,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1394,0 +uid 1761,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1395,0 +uid 1762,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1396,0 +uid 1763,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 124,0 +suid 160,0 ) ) ) *78 (CptPort -uid 1397,0 +uid 1764,0 ps "OnEdgeStrategy" shape (Triangle -uid 1398,0 +uid 1765,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 1399,0 +uid 1766,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1400,0 +uid 1767,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1401,0 +uid 1768,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 125,0 +suid 161,0 ) ) ) @@ -1231,7 +1231,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1419,0 +lastUid 1786,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/set_position/interface b/Cursor/hds/set_position/interface index 9e10739..b52eb93 100644 --- a/Cursor/hds/set_position/interface +++ b/Cursor/hds/set_position/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 55,0 +suid 75,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,10 +72,10 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 51,0 +suid 71,0 ) ) -uid 782,0 +uid 1014,0 ) *15 (LogPort port (LogicalPort @@ -83,13 +83,13 @@ lang 11 m 1 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 52,0 +suid 72,0 ) ) -uid 784,0 +uid 1016,0 ) *16 (LogPort port (LogicalPort @@ -97,13 +97,13 @@ lang 11 m 1 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 53,0 +suid 73,0 ) ) -uid 786,0 +uid 1018,0 ) *17 (LogPort port (LogicalPort @@ -114,10 +114,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 54,0 +suid 74,0 ) ) -uid 788,0 +uid 1020,0 ) *18 (LogPort port (LogicalPort @@ -126,10 +126,10 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 55,0 +suid 75,0 ) ) -uid 790,0 +uid 1022,0 ) ] ) @@ -185,31 +185,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 783,0 +uid 1015,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 785,0 +uid 1017,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 787,0 +uid 1019,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 789,0 +uid 1021,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 791,0 +uid 1023,0 ) ] ) @@ -484,19 +484,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -524,7 +524,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -536,7 +536,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "group" @@ -560,7 +560,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -568,11 +568,11 @@ value "set_position" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -608,7 +608,7 @@ value "interface" ) (vvPair variable "time" -value "15:58:05" +value "14:05:30" ) (vvPair variable "unit" @@ -628,11 +628,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -643,10 +643,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 757,0 +uid 989,0 ps "OnEdgeStrategy" shape (Triangle -uid 758,0 +uid 990,0 ro 90 va (VaSet vasetType 1 @@ -655,11 +655,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 759,0 +uid 991,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 760,0 +uid 992,0 va (VaSet font "Verdana,12,0" ) @@ -670,7 +670,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 761,0 +uid 993,0 va (VaSet font "Courier New,8,0" ) @@ -684,15 +684,15 @@ decl (Decl n "clk" t "unsigned" o 3 -suid 51,0 +suid 71,0 ) ) ) *63 (CptPort -uid 762,0 +uid 994,0 ps "OnEdgeStrategy" shape (Triangle -uid 763,0 +uid 995,0 ro 90 va (VaSet vasetType 1 @@ -701,11 +701,11 @@ fg "0,65535,0" xt "23000,11625,23750,12375" ) tg (CPTG -uid 764,0 +uid 996,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 765,0 +uid 997,0 va (VaSet font "Verdana,12,0" ) @@ -717,12 +717,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 766,0 +uid 998,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,71500,4800" -st "pos1 : OUT std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,4000,67000,4800" +st "pos1 : OUT unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -730,18 +730,18 @@ lang 11 m 1 decl (Decl n "pos1" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 19 -suid 52,0 +suid 72,0 ) ) ) *64 (CptPort -uid 767,0 +uid 999,0 ps "OnEdgeStrategy" shape (Triangle -uid 768,0 +uid 1000,0 ro 90 va (VaSet vasetType 1 @@ -750,11 +750,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 769,0 +uid 1001,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 770,0 +uid 1002,0 va (VaSet font "Verdana,12,0" ) @@ -766,12 +766,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 771,0 +uid 1003,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,71500,5600" -st "pos2 : OUT std_ulogic_vector (15 DOWNTO 0) ; +xt "44000,4800,67000,5600" +st "pos2 : OUT unsigned (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -779,18 +779,18 @@ lang 11 m 1 decl (Decl n "pos2" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 20 -suid 53,0 +suid 73,0 ) ) ) *65 (CptPort -uid 772,0 +uid 1004,0 ps "OnEdgeStrategy" shape (Triangle -uid 773,0 +uid 1005,0 ro 90 va (VaSet vasetType 1 @@ -799,11 +799,11 @@ fg "0,65535,0" xt "23000,9625,23750,10375" ) tg (CPTG -uid 774,0 +uid 1006,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 775,0 +uid 1007,0 va (VaSet font "Verdana,12,0" ) @@ -815,7 +815,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 776,0 +uid 1008,0 va (VaSet font "Courier New,8,0" ) @@ -831,15 +831,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 54,0 +suid 74,0 ) ) ) *66 (CptPort -uid 777,0 +uid 1009,0 ps "OnEdgeStrategy" shape (Triangle -uid 778,0 +uid 1010,0 ro 90 va (VaSet vasetType 1 @@ -848,11 +848,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 779,0 +uid 1011,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 780,0 +uid 1012,0 va (VaSet font "Verdana,12,0" ) @@ -863,7 +863,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 781,0 +uid 1013,0 va (VaSet font "Courier New,8,0" ) @@ -877,7 +877,7 @@ decl (Decl n "rst" t "unsigned" o 4 -suid 55,0 +suid 75,0 ) ) ) @@ -966,7 +966,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1590,6 +1590,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 791,0 +lastUid 1023,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/side_acceleration/fsm.sm b/Cursor/hds/side_acceleration/fsm.sm index 949fdd5..e3f022a 100644 --- a/Cursor/hds/side_acceleration/fsm.sm +++ b/Cursor/hds/side_acceleration/fsm.sm @@ -34,23 +34,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm.info" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm.user" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -70,27 +70,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration" ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -114,11 +114,11 @@ value "fsm" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -126,11 +126,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:20:58" +value "14:00:30" ) (vvPair variable "group" @@ -138,7 +138,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "MARVIN" ) (vvPair variable "language" @@ -154,7 +154,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -162,19 +162,19 @@ value "side_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\side_acceleration\\fsm.sm" ) (vvPair variable "package_name" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "13:20:58" +value "14:00:30" ) (vvPair variable "unit" @@ -210,7 +210,7 @@ value "side_acceleration" ) (vvPair variable "user" -value "Simon" +value "remi" ) (vvPair variable "version" @@ -222,11 +222,11 @@ value "fsm" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -1710,8 +1710,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "8600,-1600,78489,43755" +windowSize "1020,0,2736,1119" +viewArea "8600,-1600,78536,43661" cachedDiagramExtent "0,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2084,7 +2084,7 @@ stateOrder [ name "csm" ) ] -lastUid 271,0 +lastUid 300,0 commonDM (CommonDM ldm (LogicalDM emptyRow *56 (LEmptyRow @@ -2147,7 +2147,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 1 ) @@ -2159,7 +2159,7 @@ port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 3 ) @@ -2184,7 +2184,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 ) ) @@ -2197,7 +2197,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 ) ) diff --git a/Cursor/hds/side_acceleration/interface b/Cursor/hds/side_acceleration/interface index 495b0be..60a7993 100644 --- a/Cursor/hds/side_acceleration/interface +++ b/Cursor/hds/side_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 55,0 +suid 60,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 51,0 +suid 56,0 ) ) -uid 805,0 +uid 886,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +80,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 52,0 +suid 57,0 ) ) -uid 807,0 +uid 888,0 ) *16 (LogPort port (LogicalPort @@ -93,22 +93,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 53,0 +suid 58,0 ) ) -uid 809,0 +uid 890,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 54,0 +suid 59,0 ) ) -uid 811,0 +uid 892,0 ) *18 (LogPort port (LogicalPort @@ -118,10 +118,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 55,0 +suid 60,0 ) ) -uid 813,0 +uid 894,0 ) ] ) @@ -177,31 +177,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 806,0 +uid 887,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 808,0 +uid 889,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 810,0 +uid 891,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 812,0 +uid 893,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 814,0 +uid 895,0 ) ] ) @@ -476,19 +476,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "day" -value "mar." +value "dim." ) (vvPair variable "day_long" -value "mardi" +value "dimanche" ) (vvPair variable "dd" -value "21" +value "09" ) (vvPair variable "entity_name" @@ -516,7 +516,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "09.01.2022" ) (vvPair variable "graphical_source_group" @@ -528,7 +528,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "group" @@ -552,7 +552,7 @@ value "$SCRATCH_DIR/Cursor/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -560,11 +560,11 @@ value "side_acceleration" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" @@ -600,7 +600,7 @@ value "interface" ) (vvPair variable "time" -value "15:59:07" +value "14:00:32" ) (vvPair variable "unit" @@ -620,11 +620,11 @@ value "interface" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -635,10 +635,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 780,0 +uid 861,0 ps "OnEdgeStrategy" shape (Triangle -uid 781,0 +uid 862,0 ro 90 va (VaSet vasetType 1 @@ -647,11 +647,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 782,0 +uid 863,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 783,0 +uid 864,0 va (VaSet font "Verdana,12,0" ) @@ -662,26 +662,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 784,0 +uid 865,0 va (VaSet font "Courier New,8,0" ) +xt "44000,3200,66500,4000" +st "clk : IN std_uLogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_uLogic" o 2 -suid 51,0 +suid 56,0 ) ) ) *63 (CptPort -uid 785,0 +uid 866,0 ps "OnEdgeStrategy" shape (Triangle -uid 786,0 +uid 867,0 ro 90 va (VaSet vasetType 1 @@ -690,11 +693,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 787,0 +uid 868,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 788,0 +uid 869,0 va (VaSet font "Verdana,12,0" ) @@ -705,10 +708,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 789,0 +uid 870,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4000,72000,4800" +st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -717,15 +723,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 52,0 +suid 57,0 ) ) ) *64 (CptPort -uid 790,0 +uid 871,0 ps "OnEdgeStrategy" shape (Triangle -uid 791,0 +uid 872,0 ro 90 va (VaSet vasetType 1 @@ -734,11 +740,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 792,0 +uid 873,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 793,0 +uid 874,0 va (VaSet font "Verdana,12,0" ) @@ -749,10 +755,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 794,0 +uid 875,0 va (VaSet font "Courier New,8,0" ) +xt "44000,2400,72000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 @@ -761,15 +770,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 53,0 +suid 58,0 ) ) ) *65 (CptPort -uid 795,0 +uid 876,0 ps "OnEdgeStrategy" shape (Triangle -uid 796,0 +uid 877,0 ro 90 va (VaSet vasetType 1 @@ -778,11 +787,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 797,0 +uid 878,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 798,0 +uid 879,0 va (VaSet font "Verdana,12,0" ) @@ -793,26 +802,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 799,0 +uid 880,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 54,0 +suid 59,0 ) ) ) *66 (CptPort -uid 800,0 +uid 881,0 ps "OnEdgeStrategy" shape (Triangle -uid 801,0 +uid 882,0 ro 90 va (VaSet vasetType 1 @@ -821,11 +833,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 802,0 +uid 883,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 803,0 +uid 884,0 va (VaSet font "Verdana,12,0" ) @@ -837,10 +849,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 804,0 +uid 885,0 va (VaSet font "Courier New,8,0" ) +xt "44000,5600,65000,6400" +st "sideL_acceleration : OUT std_ulogic +" ) thePort (LogicalPort lang 11 @@ -849,7 +864,7 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 55,0 +suid 60,0 ) ) ) @@ -938,7 +953,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,45600,49000" st " by %user on %dd %month %year " @@ -1560,6 +1575,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 814,0 +lastUid 895,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hdl/pulseWidthModulator_tester_.vhd b/Cursor_test/hdl/pulseWidthModulator_tester_.vhd new file mode 100644 index 0000000..3859d38 --- /dev/null +++ b/Cursor_test/hdl/pulseWidthModulator_tester_.vhd @@ -0,0 +1,29 @@ +-- +-- VHDL Architecture Cursor_test.pulseWidthModulator_tester.arch_name +-- +-- Created: +-- by - Simon.UNKNOWN (PC-SDM) +-- at - 08:54:14 14.01.2022 +-- +-- using Mentor Graphics HDL Designer(TM) 2019.2 (Build 5) +-- +ARCHITECTURE arch_name OF pulseWidthModulator_tester IS + + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := 1.0/clockFrequency * 1 sec; + signal clock_int: std_ulogic := '0'; + +BEGIN + +----------------------------------------------------------------------------- + -- clock and reset + reset <= '1', '0' after 4*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after 9*clockPeriod/10; + + ------------------------------------------------------------------------------ + +END ARCHITECTURE arch_name; + diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf index 3eea781..376bb70 100644 --- a/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_ANY +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf index 80b1639..0df95a8 100644 --- a/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf @@ -1,4 +1,4 @@ +DIALECT atom VHDL_2008 INCLUDE list { DEFAULT atom 1 } -DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_pulseWidthModulator_tester_.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_pulseWidthModulator_tester_.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_pulseWidthModulator_tester_.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tb_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tester_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_pulsewidthmodulator_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/_pulsewidthmodulator_tb._epf b/Cursor_test/hds/_pulsewidthmodulator_tb._epf new file mode 100644 index 0000000..75cabd7 --- /dev/null +++ b/Cursor_test/hds/_pulsewidthmodulator_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom pulse@width@modulator_tb/struct.bd diff --git a/Cursor_test/hds/_pwmtest._epf b/Cursor_test/hds/_pwmtest._epf new file mode 100644 index 0000000..2330d1c --- /dev/null +++ b/Cursor_test/hds/_pwmtest._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom pwmtest/fsm.sm diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd index 73d998b..b08213c 100644 --- a/Cursor_test/hds/cursor_tb/struct.bd +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -59,7 +59,7 @@ value "1" ) ] mwi 0 -uid 5729,0 +uid 6511,0 ) ] libraryRefs [ @@ -78,23 +78,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -114,27 +114,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "day" -value "mar." +value "jeu." ) (vvPair variable "day_long" -value "mardi" +value "jeudi" ) (vvPair variable "dd" -value "21" +value "20" ) (vvPair variable "designName" @@ -162,11 +162,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remi" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "21.12.2021" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -174,11 +174,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "16:00:15" +value "16:53:37" ) (vvPair variable "group" @@ -186,7 +186,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "WE2332001" ) (vvPair variable "language" @@ -206,7 +206,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "12" +value "01" ) (vvPair variable "module_name" @@ -214,19 +214,19 @@ value "cursor_tb" ) (vvPair variable "month" -value "déc." +value "janv." ) (vvPair variable "month_long" -value "décembre" +value "janvier" ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "package_name" @@ -302,7 +302,7 @@ value "struct" ) (vvPair variable "time" -value "16:00:15" +value "16:53:37" ) (vvPair variable "unit" @@ -310,7 +310,7 @@ value "cursor_tb" ) (vvPair variable "user" -value "remi" +value "remi.heredero" ) (vvPair variable "version" @@ -322,11 +322,11 @@ value "struct" ) (vvPair variable "year" -value "2021" +value "2022" ) (vvPair variable "yy" -value "21" +value "22" ) ] ) @@ -449,7 +449,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "56200,91400,70700,92600" +xt "56200,91400,75700,92600" st " by %user on %dd %month %year " @@ -706,9 +706,9 @@ uid 1777,0 va (VaSet font "Verdana,12,1" ) -xt "13600,82900,22000,84200" +xt "14600,76900,23000,78200" st "Cursor_test" -blo "13600,83900" +blo "14600,77900" tm "BdLibraryNameMgr" ) *16 (Text @@ -716,9 +716,9 @@ uid 1778,0 va (VaSet font "Verdana,12,1" ) -xt "13600,84300,23400,85600" +xt "14600,78300,24400,79600" st "cursor_tester" -blo "13600,85300" +blo "14600,79300" tm "BlkNameMgr" ) *17 (Text @@ -726,9 +726,9 @@ uid 1779,0 va (VaSet font "Verdana,12,1" ) -xt "13600,85700,19200,87000" +xt "14600,79700,20200,81000" st "I_tester" -blo "13600,86700" +blo "14600,80700" tm "InstanceNameMgr" ) ] @@ -985,13 +985,13 @@ st "SIGNAL button4 : std_uLogic" ) ) *31 (SaComponent -uid 5729,0 +uid 6511,0 optionalChildren [ *32 (CptPort -uid 5624,0 +uid 6427,0 ps "OnEdgeStrategy" shape (Triangle -uid 5625,0 +uid 6428,0 ro 90 va (VaSet vasetType 1 @@ -1000,11 +1000,11 @@ fg "0,65535,0" xt "38250,62625,39000,63375" ) tg (CPTG -uid 5626,0 +uid 6429,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5627,0 +uid 6430,0 va (VaSet font "Verdana,12,0" ) @@ -1023,10 +1023,10 @@ suid 1,0 ) ) *33 (CptPort -uid 5629,0 +uid 6431,0 ps "OnEdgeStrategy" shape (Triangle -uid 5630,0 +uid 6432,0 ro 90 va (VaSet vasetType 1 @@ -1035,11 +1035,11 @@ fg "0,65535,0" xt "38250,64625,39000,65375" ) tg (CPTG -uid 5631,0 +uid 6433,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5632,0 +uid 6434,0 va (VaSet font "Verdana,12,0" ) @@ -1058,10 +1058,10 @@ suid 2,0 ) ) *34 (CptPort -uid 5634,0 +uid 6435,0 ps "OnEdgeStrategy" shape (Triangle -uid 5635,0 +uid 6436,0 ro 90 va (VaSet vasetType 1 @@ -1070,11 +1070,11 @@ fg "0,65535,0" xt "55000,40625,55750,41375" ) tg (CPTG -uid 5636,0 +uid 6437,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5637,0 +uid 6438,0 va (VaSet font "Verdana,12,0" ) @@ -1095,10 +1095,10 @@ suid 3,0 ) ) *35 (CptPort -uid 5639,0 +uid 6439,0 ps "OnEdgeStrategy" shape (Triangle -uid 5640,0 +uid 6440,0 ro 90 va (VaSet vasetType 1 @@ -1107,11 +1107,11 @@ fg "0,65535,0" xt "38250,38625,39000,39375" ) tg (CPTG -uid 5641,0 +uid 6441,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5642,0 +uid 6442,0 va (VaSet font "Verdana,12,0" ) @@ -1130,10 +1130,10 @@ suid 4,0 ) ) *36 (CptPort -uid 5644,0 +uid 6443,0 ps "OnEdgeStrategy" shape (Triangle -uid 5645,0 +uid 6444,0 ro 90 va (VaSet vasetType 1 @@ -1142,11 +1142,11 @@ fg "0,65535,0" xt "38250,42625,39000,43375" ) tg (CPTG -uid 5646,0 +uid 6445,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5647,0 +uid 6446,0 va (VaSet font "Verdana,12,0" ) @@ -1165,10 +1165,10 @@ suid 5,0 ) ) *37 (CptPort -uid 5649,0 +uid 6447,0 ps "OnEdgeStrategy" shape (Triangle -uid 5650,0 +uid 6448,0 ro 270 va (VaSet vasetType 1 @@ -1177,11 +1177,11 @@ fg "0,65535,0" xt "55000,46625,55750,47375" ) tg (CPTG -uid 5651,0 +uid 6449,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5652,0 +uid 6450,0 va (VaSet font "Verdana,12,0" ) @@ -1201,10 +1201,10 @@ suid 6,0 ) ) *38 (CptPort -uid 5654,0 +uid 6451,0 ps "OnEdgeStrategy" shape (Triangle -uid 5655,0 +uid 6452,0 ro 90 va (VaSet vasetType 1 @@ -1213,11 +1213,11 @@ fg "0,65535,0" xt "38250,60625,39000,61375" ) tg (CPTG -uid 5656,0 +uid 6453,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5657,0 +uid 6454,0 va (VaSet font "Verdana,12,0" ) @@ -1236,10 +1236,10 @@ suid 7,0 ) ) *39 (CptPort -uid 5659,0 +uid 6455,0 ps "OnEdgeStrategy" shape (Triangle -uid 5660,0 +uid 6456,0 ro 90 va (VaSet vasetType 1 @@ -1248,11 +1248,11 @@ fg "0,65535,0" xt "38250,40625,39000,41375" ) tg (CPTG -uid 5661,0 +uid 6457,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5662,0 +uid 6458,0 va (VaSet font "Verdana,12,0" ) @@ -1271,10 +1271,10 @@ suid 9,0 ) ) *40 (CptPort -uid 5664,0 +uid 6459,0 ps "OnEdgeStrategy" shape (Triangle -uid 5665,0 +uid 6460,0 ro 90 va (VaSet vasetType 1 @@ -1283,11 +1283,11 @@ fg "0,65535,0" xt "55000,42625,55750,43375" ) tg (CPTG -uid 5666,0 +uid 6461,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5667,0 +uid 6462,0 va (VaSet font "Verdana,12,0" ) @@ -1308,10 +1308,10 @@ suid 10,0 ) ) *41 (CptPort -uid 5669,0 +uid 6463,0 ps "OnEdgeStrategy" shape (Triangle -uid 5670,0 +uid 6464,0 ro 270 va (VaSet vasetType 1 @@ -1320,11 +1320,11 @@ fg "0,65535,0" xt "55000,48625,55750,49375" ) tg (CPTG -uid 5671,0 +uid 6465,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5672,0 +uid 6466,0 va (VaSet font "Verdana,12,0" ) @@ -1344,10 +1344,10 @@ suid 11,0 ) ) *42 (CptPort -uid 5674,0 +uid 6467,0 ps "OnEdgeStrategy" shape (Triangle -uid 5675,0 +uid 6468,0 ro 90 va (VaSet vasetType 1 @@ -1356,11 +1356,11 @@ fg "0,65535,0" xt "55000,38625,55750,39375" ) tg (CPTG -uid 5676,0 +uid 6469,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5677,0 +uid 6470,0 va (VaSet font "Verdana,12,0" ) @@ -1381,10 +1381,10 @@ suid 12,0 ) ) *43 (CptPort -uid 5679,0 +uid 6471,0 ps "OnEdgeStrategy" shape (Triangle -uid 5680,0 +uid 6472,0 ro 270 va (VaSet vasetType 1 @@ -1393,11 +1393,11 @@ fg "0,65535,0" xt "55000,52625,55750,53375" ) tg (CPTG -uid 5681,0 +uid 6473,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5682,0 +uid 6474,0 va (VaSet font "Verdana,12,0" ) @@ -1417,10 +1417,10 @@ suid 13,0 ) ) *44 (CptPort -uid 5684,0 +uid 6475,0 ps "OnEdgeStrategy" shape (Triangle -uid 5685,0 +uid 6476,0 ro 270 va (VaSet vasetType 1 @@ -1429,11 +1429,11 @@ fg "0,65535,0" xt "55000,54625,55750,55375" ) tg (CPTG -uid 5686,0 +uid 6477,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5687,0 +uid 6478,0 va (VaSet font "Verdana,12,0" ) @@ -1453,10 +1453,10 @@ suid 14,0 ) ) *45 (CptPort -uid 5689,0 +uid 6479,0 ps "OnEdgeStrategy" shape (Triangle -uid 5690,0 +uid 6480,0 ro 270 va (VaSet vasetType 1 @@ -1465,11 +1465,11 @@ fg "0,65535,0" xt "55000,56625,55750,57375" ) tg (CPTG -uid 5691,0 +uid 6481,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5692,0 +uid 6482,0 va (VaSet font "Verdana,12,0" ) @@ -1489,10 +1489,10 @@ suid 15,0 ) ) *46 (CptPort -uid 5694,0 +uid 6483,0 ps "OnEdgeStrategy" shape (Triangle -uid 5695,0 +uid 6484,0 ro 90 va (VaSet vasetType 1 @@ -1501,11 +1501,11 @@ fg "0,65535,0" xt "38250,44625,39000,45375" ) tg (CPTG -uid 5696,0 +uid 6485,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5697,0 +uid 6486,0 va (VaSet font "Verdana,12,0" ) @@ -1525,10 +1525,10 @@ suid 16,0 ) ) *47 (CptPort -uid 5699,0 +uid 6487,0 ps "OnEdgeStrategy" shape (Triangle -uid 5700,0 +uid 6488,0 ro 270 va (VaSet vasetType 1 @@ -1537,11 +1537,11 @@ fg "0,65535,0" xt "38250,48625,39000,49375" ) tg (CPTG -uid 5701,0 +uid 6489,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5702,0 +uid 6490,0 va (VaSet font "Verdana,12,0" ) @@ -1561,10 +1561,10 @@ suid 2017,0 ) ) *48 (CptPort -uid 5704,0 +uid 6491,0 ps "OnEdgeStrategy" shape (Triangle -uid 5705,0 +uid 6492,0 ro 270 va (VaSet vasetType 1 @@ -1573,11 +1573,11 @@ fg "0,65535,0" xt "38250,50625,39000,51375" ) tg (CPTG -uid 5706,0 +uid 6493,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5707,0 +uid 6494,0 va (VaSet font "Verdana,12,0" ) @@ -1597,10 +1597,10 @@ suid 2018,0 ) ) *49 (CptPort -uid 5709,0 +uid 6495,0 ps "OnEdgeStrategy" shape (Triangle -uid 5710,0 +uid 6496,0 ro 270 va (VaSet vasetType 1 @@ -1609,11 +1609,11 @@ fg "0,65535,0" xt "38250,52625,39000,53375" ) tg (CPTG -uid 5711,0 +uid 6497,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5712,0 +uid 6498,0 va (VaSet font "Verdana,12,0" ) @@ -1633,10 +1633,10 @@ suid 2019,0 ) ) *50 (CptPort -uid 5714,0 +uid 6499,0 ps "OnEdgeStrategy" shape (Triangle -uid 5715,0 +uid 6500,0 ro 270 va (VaSet vasetType 1 @@ -1645,11 +1645,11 @@ fg "0,65535,0" xt "38250,54625,39000,55375" ) tg (CPTG -uid 5716,0 +uid 6501,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5717,0 +uid 6502,0 va (VaSet font "Verdana,12,0" ) @@ -1669,10 +1669,10 @@ suid 2020,0 ) ) *51 (CptPort -uid 5719,0 +uid 6503,0 ps "OnEdgeStrategy" shape (Triangle -uid 5720,0 +uid 6504,0 ro 270 va (VaSet vasetType 1 @@ -1681,11 +1681,11 @@ fg "0,65535,0" xt "38250,56625,39000,57375" ) tg (CPTG -uid 5721,0 +uid 6505,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 5722,0 +uid 6506,0 va (VaSet font "Verdana,12,0" ) @@ -1705,10 +1705,10 @@ suid 2021,0 ) ) *52 (CptPort -uid 5724,0 +uid 6507,0 ps "OnEdgeStrategy" shape (Triangle -uid 5725,0 +uid 6508,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1716,18 +1716,19 @@ fg "0,65535,0" xt "46625,34250,47375,35000" ) tg (CPTG -uid 5726,0 +uid 6509,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 5727,0 +uid 6510,0 +ro 270 va (VaSet font "Verdana,12,0" ) -xt "44000,36000,49600,37400" +xt "46300,36000,47700,41600" st "testOut" ju 2 -blo "49600,37200" +blo "47500,36000" ) ) thePort (LogicalPort @@ -1735,7 +1736,7 @@ m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 DOWNTO 0)" +b "(1 to 16)" o 21 suid 2022,0 ) @@ -1743,7 +1744,7 @@ suid 2022,0 ) ] shape (Rectangle -uid 5730,0 +uid 6512,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1754,12 +1755,12 @@ xt "39000,35000,55000,67000" ) oxt "40000,2000,56000,34000" ttg (MlTextGroup -uid 5731,0 +uid 6513,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *53 (Text -uid 5732,0 +uid 6514,0 va (VaSet font "Verdana,8,1" ) @@ -1769,7 +1770,7 @@ blo "39100,67500" tm "BdLibraryNameMgr" ) *54 (Text -uid 5733,0 +uid 6515,0 va (VaSet font "Verdana,8,1" ) @@ -1779,7 +1780,7 @@ blo "39100,68500" tm "CptNameMgr" ) *55 (Text -uid 5734,0 +uid 6516,0 va (VaSet font "Verdana,8,1" ) @@ -1791,12 +1792,12 @@ tm "InstanceNameMgr" ] ) ga (GenericAssociation -uid 5735,0 +uid 6517,0 ps "EdgeToEdgeStrategy" matrix (Matrix -uid 5736,0 +uid 6518,0 text (MLText -uid 5737,0 +uid 6519,0 va (VaSet font "Courier New,8,0" ) @@ -2531,8 +2532,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "-3100,25591,97693,87499" +windowSize "0,14,1921,1080" +viewArea "-3700,26953,126661,99179" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," @@ -2559,7 +2560,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" -lastUid 5843,0 +lastUid 6572,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor_test/hds/cursor_tester/interface b/Cursor_test/hds/cursor_tester/interface index a55a2f3..99d43a6 100644 --- a/Cursor_test/hds/cursor_tester/interface +++ b/Cursor_test/hds/cursor_tester/interface @@ -1,6 +1,7 @@ DocumentHdrVersion "1.1" Header (DocumentHdr version 2 +dialect 11 dmPackageRefs [ (DmPackageRef library "ieee" @@ -20,11 +21,11 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 2090,0 +suid 15,0 usingSuid 1 emptyRow *1 (LEmptyRow ) -uid 370,0 +uid 158,0 optionalChildren [ *2 (RefLabelRowHdr ) @@ -66,10 +67,10 @@ decl (Decl n "button4" t "std_uLogic" o 1 -suid 2076,0 +suid 1,0 ) ) -uid 977,0 +uid 126,0 ) *15 (LogPort port (LogicalPort @@ -78,10 +79,10 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 2077,0 +suid 2,0 ) ) -uid 979,0 +uid 128,0 ) *16 (LogPort port (LogicalPort @@ -90,10 +91,10 @@ decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 2078,0 +suid 3,0 ) ) -uid 981,0 +uid 130,0 ) *17 (LogPort port (LogicalPort @@ -102,10 +103,10 @@ decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 2079,0 +suid 4,0 ) ) -uid 983,0 +uid 132,0 ) *18 (LogPort port (LogicalPort @@ -114,10 +115,10 @@ decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 2080,0 +suid 5,0 ) ) -uid 985,0 +uid 134,0 ) *19 (LogPort port (LogicalPort @@ -126,10 +127,10 @@ decl (Decl n "go1" t "std_uLogic" o 6 -suid 2081,0 +suid 6,0 ) ) -uid 987,0 +uid 136,0 ) *20 (LogPort port (LogicalPort @@ -138,10 +139,10 @@ decl (Decl n "go2" t "std_uLogic" o 7 -suid 2082,0 +suid 7,0 ) ) -uid 989,0 +uid 138,0 ) *21 (LogPort port (LogicalPort @@ -149,10 +150,10 @@ decl (Decl n "motorOn" t "std_uLogic" o 8 -suid 2083,0 +suid 8,0 ) ) -uid 991,0 +uid 140,0 ) *22 (LogPort port (LogicalPort @@ -161,10 +162,10 @@ decl (Decl n "reset" t "std_ulogic" o 9 -suid 2084,0 +suid 9,0 ) ) -uid 993,0 +uid 142,0 ) *23 (LogPort port (LogicalPort @@ -173,10 +174,10 @@ decl (Decl n "restart" t "std_uLogic" o 10 -suid 2085,0 +suid 10,0 ) ) -uid 995,0 +uid 144,0 ) *24 (LogPort port (LogicalPort @@ -185,10 +186,10 @@ decl (Decl n "sensor1" t "std_uLogic" o 11 -suid 2086,0 +suid 11,0 ) ) -uid 997,0 +uid 146,0 ) *25 (LogPort port (LogicalPort @@ -197,10 +198,10 @@ decl (Decl n "sensor2" t "std_uLogic" o 12 -suid 2087,0 +suid 12,0 ) ) -uid 999,0 +uid 148,0 ) *26 (LogPort port (LogicalPort @@ -208,10 +209,10 @@ decl (Decl n "side1" t "std_uLogic" o 13 -suid 2088,0 +suid 13,0 ) ) -uid 1001,0 +uid 150,0 ) *27 (LogPort port (LogicalPort @@ -219,10 +220,10 @@ decl (Decl n "side2" t "std_uLogic" o 14 -suid 2089,0 +suid 14,0 ) ) -uid 1003,0 +uid 152,0 ) *28 (LogPort port (LogicalPort @@ -231,15 +232,17 @@ decl (Decl n "testMode" t "std_uLogic" o 15 -suid 2090,0 +suid 15,0 ) ) -uid 1005,0 +uid 154,0 ) ] ) pdm (PhysicalDM -uid 386,0 +displayShortBounds 1 +editShortBounds 1 +uid 171,0 optionalChildren [ *29 (Sheet sheetRow (SheetRow @@ -263,116 +266,116 @@ litem &1 pos 3 dimension 20 ) -uid 303,0 +uid 173,0 optionalChildren [ *31 (MRCItem litem &2 pos 0 dimension 20 -uid 306,0 +uid 174,0 ) *32 (MRCItem litem &3 pos 1 dimension 23 -uid 308,0 +uid 175,0 ) *33 (MRCItem litem &4 pos 2 hidden 1 dimension 20 -uid 310,0 +uid 176,0 ) *34 (MRCItem litem &14 pos 0 dimension 20 -uid 978,0 +uid 127,0 ) *35 (MRCItem litem &15 pos 1 dimension 20 -uid 980,0 +uid 129,0 ) *36 (MRCItem litem &16 pos 2 dimension 20 -uid 982,0 +uid 131,0 ) *37 (MRCItem litem &17 pos 3 dimension 20 -uid 984,0 +uid 133,0 ) *38 (MRCItem litem &18 pos 4 dimension 20 -uid 986,0 +uid 135,0 ) *39 (MRCItem litem &19 pos 5 dimension 20 -uid 988,0 +uid 137,0 ) *40 (MRCItem litem &20 pos 6 dimension 20 -uid 990,0 +uid 139,0 ) *41 (MRCItem litem &21 pos 7 dimension 20 -uid 992,0 +uid 141,0 ) *42 (MRCItem litem &22 pos 8 dimension 20 -uid 994,0 +uid 143,0 ) *43 (MRCItem litem &23 pos 9 dimension 20 -uid 996,0 +uid 145,0 ) *44 (MRCItem litem &24 pos 10 dimension 20 -uid 998,0 +uid 147,0 ) *45 (MRCItem litem &25 pos 11 dimension 20 -uid 1000,0 +uid 149,0 ) *46 (MRCItem litem &26 pos 12 dimension 20 -uid 1002,0 +uid 151,0 ) *47 (MRCItem litem &27 pos 13 dimension 20 -uid 1004,0 +uid 153,0 ) *48 (MRCItem litem &28 pos 14 dimension 20 -uid 1006,0 +uid 155,0 ) ] ) @@ -383,74 +386,74 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) -uid 304,0 +uid 177,0 optionalChildren [ *49 (MRCItem litem &5 pos 0 dimension 20 -uid 312,0 +uid 178,0 ) *50 (MRCItem litem &7 pos 1 dimension 50 -uid 316,0 +uid 179,0 ) *51 (MRCItem litem &8 pos 2 dimension 100 -uid 318,0 +uid 180,0 ) *52 (MRCItem litem &9 pos 3 dimension 50 -uid 320,0 +uid 181,0 ) *53 (MRCItem litem &10 pos 4 dimension 100 -uid 322,0 +uid 182,0 ) *54 (MRCItem litem &11 pos 5 dimension 100 -uid 324,0 +uid 183,0 ) *55 (MRCItem litem &12 pos 6 dimension 50 -uid 326,0 +uid 184,0 ) *56 (MRCItem litem &13 pos 7 dimension 80 -uid 328,0 +uid 185,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" -uid 302,0 +uid 172,0 vaOverrides [ ] ) ] ) -uid 369,0 +uid 157,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *57 (LEmptyRow ) -uid 388,0 +uid 187,0 optionalChildren [ *58 (RefLabelRowHdr ) @@ -485,7 +488,9 @@ tm "GenericEolColHdrMgr" ] ) pdm (PhysicalDM -uid 389,0 +displayShortBounds 1 +editShortBounds 1 +uid 199,0 optionalChildren [ *69 (Sheet sheetRow (SheetRow @@ -509,26 +514,26 @@ litem &57 pos 3 dimension 20 ) -uid 345,0 +uid 201,0 optionalChildren [ *71 (MRCItem litem &58 pos 0 dimension 20 -uid 348,0 +uid 202,0 ) *72 (MRCItem litem &59 pos 1 dimension 23 -uid 350,0 +uid 203,0 ) *73 (MRCItem litem &60 pos 2 hidden 1 dimension 20 -uid 352,0 +uid 204,0 ) ] ) @@ -539,89 +544,85 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) -uid 346,0 +uid 205,0 optionalChildren [ *74 (MRCItem litem &61 pos 0 dimension 20 -uid 354,0 +uid 206,0 ) *75 (MRCItem litem &63 pos 1 dimension 50 -uid 358,0 +uid 207,0 ) *76 (MRCItem litem &64 pos 2 dimension 100 -uid 360,0 +uid 208,0 ) *77 (MRCItem litem &65 pos 3 dimension 100 -uid 362,0 +uid 209,0 ) *78 (MRCItem litem &66 pos 4 dimension 50 -uid 364,0 +uid 210,0 ) *79 (MRCItem litem &67 pos 5 dimension 50 -uid 366,0 +uid 211,0 ) *80 (MRCItem litem &68 pos 6 dimension 80 -uid 368,0 +uid 212,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" -uid 344,0 +uid 200,0 vaOverrides [ ] ) ] ) -uid 387,0 +uid 186,0 type 1 ) VExpander (VariableExpander vvMap [ (vvPair -variable " " -value " " -) -(vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.info" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.user" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -641,31 +642,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" ) (vvPair variable "date" -value "11.11.2019" +value "20.01.2022" ) (vvPair variable "day" -value "Mon" +value "jeu." ) (vvPair variable "day_long" -value "Monday" +value "jeudi" ) (vvPair variable "dd" -value "11" -) -(vvPair -variable "designName" -value "$DESIGN_NAME" +value "20" ) (vvPair variable "entity_name" @@ -689,11 +686,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "20.01.2022" ) (vvPair variable "graphical_source_group" @@ -701,11 +698,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "WE2332001" ) (vvPair variable "graphical_source_time" -value "08:13:23" +value "16:21:56" ) (vvPair variable "group" @@ -713,7 +710,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "WE2332001" ) (vvPair variable "language" @@ -724,16 +721,12 @@ variable "library" value "Cursor_test" ) (vvPair -variable "library_downstream_ModelSim" -value "D:\\Users\\ELN_labs\\VHDL_comp" -) -(vvPair variable "library_downstream_ModelSimCompiler" value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "01" ) (vvPair variable "module_name" @@ -741,19 +734,19 @@ value "cursor_tester" ) (vvPair variable "month" -value "Nov" +value "janv." ) (vvPair variable "month_long" -value "November" +value "janvier" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +value "U:\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" ) (vvPair variable "package_name" @@ -768,54 +761,6 @@ variable "series" value "HDL Designer Series" ) (vvPair -variable "task_ADMS" -value "" -) -(vvPair -variable "task_DesignCompilerPath" -value "" -) -(vvPair -variable "task_HDSPath" -value "$HDS_HOME" -) -(vvPair -variable "task_ISEBinPath" -value "$ISE_HOME" -) -(vvPair -variable "task_ISEPath" -value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" -) -(vvPair -variable "task_LeonardoPath" -value "" -) -(vvPair -variable "task_ModelSimPath" -value "/usr/opt/Modelsim/modeltech/bin" -) -(vvPair -variable "task_NC" -value "" -) -(vvPair -variable "task_NC-SimPath" -value "" -) -(vvPair -variable "task_PrecisionRTLPath" -value "" -) -(vvPair -variable "task_QuestaSimPath" -value "" -) -(vvPair -variable "task_VCSPath" -value "" -) -(vvPair variable "this_ext" value "" ) @@ -829,7 +774,7 @@ value "interface" ) (vvPair variable "time" -value "08:13:23" +value "16:21:56" ) (vvPair variable "unit" @@ -837,7 +782,7 @@ value "cursor_tester" ) (vvPair variable "user" -value "silvan.zahno" +value "remi.heredero" ) (vvPair variable "version" @@ -849,25 +794,25 @@ value "interface" ) (vvPair variable "year" -value "2019" +value "2022" ) (vvPair variable "yy" -value "19" +value "22" ) ] ) -LanguageMgr "VhdlLangMgr" -uid 106,0 +LanguageMgr "Vhdl2008LangMgr" +uid 156,0 optionalChildren [ *81 (SymbolBody uid 8,0 optionalChildren [ *82 (CptPort -uid 902,0 +uid 51,0 ps "OnEdgeStrategy" shape (Triangle -uid 903,0 +uid 52,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -875,11 +820,11 @@ fg "0,65535,0" xt "28625,5250,29375,6000" ) tg (CPTG -uid 904,0 +uid 53,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 905,0 +uid 54,0 ro 270 va (VaSet font "Verdana,12,0" @@ -892,13 +837,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 906,0 +uid 55,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4200,61000,5000" -st "button4 : OUT std_uLogic ; -" +xt "44000,4800,61000,5600" +st "button4 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -906,15 +850,15 @@ decl (Decl n "button4" t "std_uLogic" o 1 -suid 2076,0 +suid 1,0 ) ) ) *83 (CptPort -uid 907,0 +uid 56,0 ps "OnEdgeStrategy" shape (Triangle -uid 908,0 +uid 57,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -922,11 +866,11 @@ fg "0,65535,0" xt "34625,5250,35375,6000" ) tg (CPTG -uid 909,0 +uid 58,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 910,0 +uid 59,0 ro 270 va (VaSet font "Verdana,12,0" @@ -939,13 +883,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 911,0 +uid 60,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5000,61000,5800" -st "clock : OUT std_ulogic ; -" +xt "44000,5600,61000,6400" +st "clock : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -953,15 +896,15 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 2077,0 +suid 2,0 ) ) ) *84 (CptPort -uid 912,0 +uid 61,0 ps "OnEdgeStrategy" shape (Triangle -uid 913,0 +uid 62,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -969,11 +912,11 @@ fg "0,65535,0" xt "64625,5250,65375,6000" ) tg (CPTG -uid 914,0 +uid 63,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 915,0 +uid 64,0 ro 270 va (VaSet font "Verdana,12,0" @@ -986,13 +929,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 916,0 +uid 65,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5800,61000,6600" -st "encoderA : OUT std_uLogic ; -" +xt "44000,6400,61000,7200" +st "encoderA : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1000,15 +942,15 @@ decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 2078,0 +suid 3,0 ) ) ) *85 (CptPort -uid 917,0 +uid 66,0 ps "OnEdgeStrategy" shape (Triangle -uid 918,0 +uid 67,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1016,11 +958,11 @@ fg "0,65535,0" xt "62625,5250,63375,6000" ) tg (CPTG -uid 919,0 +uid 68,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 920,0 +uid 69,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1033,13 +975,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 921,0 +uid 70,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6600,61000,7400" -st "encoderB : OUT std_uLogic ; -" +xt "44000,7200,61000,8000" +st "encoderB : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1047,15 +988,15 @@ decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 2079,0 +suid 4,0 ) ) ) *86 (CptPort -uid 922,0 +uid 71,0 ps "OnEdgeStrategy" shape (Triangle -uid 923,0 +uid 72,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1063,11 +1004,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 924,0 +uid 73,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 925,0 +uid 74,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,13 +1021,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 926,0 +uid 75,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7400,61000,8200" -st "encoderI : OUT std_uLogic ; -" +xt "44000,8000,61000,8800" +st "encoderI : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1094,15 +1034,15 @@ decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 2080,0 +suid 5,0 ) ) ) *87 (CptPort -uid 927,0 +uid 76,0 ps "OnEdgeStrategy" shape (Triangle -uid 928,0 +uid 77,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1110,11 +1050,11 @@ fg "0,65535,0" xt "24625,5250,25375,6000" ) tg (CPTG -uid 929,0 +uid 78,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 930,0 +uid 79,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,13 +1067,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 931,0 +uid 80,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8200,61000,9000" -st "go1 : OUT std_uLogic ; -" +xt "44000,8800,61000,9600" +st "go1 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1141,15 +1080,15 @@ decl (Decl n "go1" t "std_uLogic" o 6 -suid 2081,0 +suid 6,0 ) ) ) *88 (CptPort -uid 932,0 +uid 81,0 ps "OnEdgeStrategy" shape (Triangle -uid 933,0 +uid 82,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1157,11 +1096,11 @@ fg "0,65535,0" xt "26625,5250,27375,6000" ) tg (CPTG -uid 934,0 +uid 83,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 935,0 +uid 84,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1174,13 +1113,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 936,0 +uid 85,0 va (VaSet font "Courier New,8,0" ) -xt "44000,9000,61000,9800" -st "go2 : OUT std_uLogic ; -" +xt "44000,9600,61000,10400" +st "go2 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1188,15 +1126,15 @@ decl (Decl n "go2" t "std_uLogic" o 7 -suid 2082,0 +suid 7,0 ) ) ) *89 (CptPort -uid 937,0 +uid 86,0 ps "OnEdgeStrategy" shape (Triangle -uid 938,0 +uid 87,0 ro 180 va (VaSet vasetType 1 @@ -1205,11 +1143,11 @@ fg "0,65535,0" xt "78625,5250,79375,6000" ) tg (CPTG -uid 939,0 +uid 88,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 940,0 +uid 89,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1222,28 +1160,27 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 941,0 +uid 90,0 va (VaSet font "Courier New,8,0" ) -xt "44000,1800,61000,2600" -st "motorOn : IN std_uLogic ; -" +xt "44000,2400,61000,3200" +st "motorOn : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "motorOn" t "std_uLogic" o 8 -suid 2083,0 +suid 8,0 ) ) ) *90 (CptPort -uid 942,0 +uid 91,0 ps "OnEdgeStrategy" shape (Triangle -uid 943,0 +uid 92,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1251,11 +1188,11 @@ fg "0,65535,0" xt "36625,5250,37375,6000" ) tg (CPTG -uid 944,0 +uid 93,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 945,0 +uid 94,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1268,13 +1205,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 946,0 +uid 95,0 va (VaSet font "Courier New,8,0" ) -xt "44000,9800,61000,10600" -st "reset : OUT std_ulogic ; -" +xt "44000,10400,61000,11200" +st "reset : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -1282,15 +1218,15 @@ decl (Decl n "reset" t "std_ulogic" o 9 -suid 2084,0 +suid 9,0 ) ) ) *91 (CptPort -uid 947,0 +uid 96,0 ps "OnEdgeStrategy" shape (Triangle -uid 948,0 +uid 97,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1298,11 +1234,11 @@ fg "0,65535,0" xt "22625,5250,23375,6000" ) tg (CPTG -uid 949,0 +uid 98,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 950,0 +uid 99,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1315,13 +1251,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 951,0 +uid 100,0 va (VaSet font "Courier New,8,0" ) -xt "44000,10600,61000,11400" -st "restart : OUT std_uLogic ; -" +xt "44000,11200,61000,12000" +st "restart : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1329,15 +1264,15 @@ decl (Decl n "restart" t "std_uLogic" o 10 -suid 2085,0 +suid 10,0 ) ) ) *92 (CptPort -uid 952,0 +uid 101,0 ps "OnEdgeStrategy" shape (Triangle -uid 953,0 +uid 102,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1345,11 +1280,11 @@ fg "0,65535,0" xt "70625,5250,71375,6000" ) tg (CPTG -uid 954,0 +uid 103,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 955,0 +uid 104,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1362,13 +1297,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 956,0 +uid 105,0 va (VaSet font "Courier New,8,0" ) -xt "44000,11400,61000,12200" -st "sensor1 : OUT std_uLogic ; -" +xt "44000,12000,61000,12800" +st "sensor1 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1376,15 +1310,15 @@ decl (Decl n "sensor1" t "std_uLogic" o 11 -suid 2086,0 +suid 11,0 ) ) ) *93 (CptPort -uid 957,0 +uid 106,0 ps "OnEdgeStrategy" shape (Triangle -uid 958,0 +uid 107,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1392,11 +1326,11 @@ fg "0,65535,0" xt "68625,5250,69375,6000" ) tg (CPTG -uid 959,0 +uid 108,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 960,0 +uid 109,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1409,13 +1343,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 961,0 +uid 110,0 va (VaSet font "Courier New,8,0" ) -xt "44000,12200,61000,13000" -st "sensor2 : OUT std_uLogic ; -" +xt "44000,12800,61000,13600" +st "sensor2 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1423,15 +1356,15 @@ decl (Decl n "sensor2" t "std_uLogic" o 12 -suid 2087,0 +suid 12,0 ) ) ) *94 (CptPort -uid 962,0 +uid 111,0 ps "OnEdgeStrategy" shape (Triangle -uid 963,0 +uid 112,0 ro 180 va (VaSet vasetType 1 @@ -1440,11 +1373,11 @@ fg "0,65535,0" xt "76625,5250,77375,6000" ) tg (CPTG -uid 964,0 +uid 113,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 965,0 +uid 114,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1457,28 +1390,27 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 966,0 +uid 115,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2600,61000,3400" -st "side1 : IN std_uLogic ; -" +xt "44000,3200,61000,4000" +st "side1 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "side1" t "std_uLogic" o 13 -suid 2088,0 +suid 13,0 ) ) ) *95 (CptPort -uid 967,0 +uid 116,0 ps "OnEdgeStrategy" shape (Triangle -uid 968,0 +uid 117,0 ro 180 va (VaSet vasetType 1 @@ -1487,11 +1419,11 @@ fg "0,65535,0" xt "74625,5250,75375,6000" ) tg (CPTG -uid 969,0 +uid 118,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 970,0 +uid 119,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1504,28 +1436,27 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 971,0 +uid 120,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3400,61000,4200" -st "side2 : IN std_uLogic ; -" +xt "44000,4000,61000,4800" +st "side2 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "side2" t "std_uLogic" o 14 -suid 2089,0 +suid 14,0 ) ) ) *96 (CptPort -uid 972,0 +uid 121,0 ps "OnEdgeStrategy" shape (Triangle -uid 973,0 +uid 122,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1533,11 +1464,11 @@ fg "0,65535,0" xt "32625,5250,33375,6000" ) tg (CPTG -uid 974,0 +uid 123,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 975,0 +uid 124,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1550,13 +1481,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 976,0 +uid 125,0 va (VaSet font "Courier New,8,0" ) -xt "44000,13000,60000,13800" -st "testMode : OUT std_uLogic -" +xt "44000,13600,60000,14400" +st "testMode : OUT std_uLogic " ) thePort (LogicalPort m 1 @@ -1564,7 +1494,7 @@ decl (Decl n "testMode" t "std_uLogic" o 15 -suid 2090,0 +suid 15,0 ) ) ) @@ -1579,7 +1509,6 @@ lineWidth 2 ) xt "15000,6000,87000,14000" ) -oxt "15000,6000,77000,14000" biTextGroup (BiTextGroup uid 10,0 ps "CenterOffsetStrategy" @@ -1611,10 +1540,9 @@ uid 14,0 text (MLText uid 15,0 va (VaSet -isHidden 1 -font "Verdana,8,0" +font "Courier New,8,0" ) -xt "26000,6000,35700,7000" +xt "21500,6000,33000,6800" st "Generic Declarations" ) header "Generic Declarations" @@ -1653,8 +1581,9 @@ uid 20,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "36200,48500,36200,48500" +xt "36200,48000,48800,49000" st " by %user on %dd %month %year " @@ -1665,6 +1594,7 @@ visibleWidth 17000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *100 (CommentText uid 21,0 @@ -1683,8 +1613,9 @@ uid 23,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "53200,44500,53200,44500" +xt "53200,44000,56200,45000" st " Project: " @@ -1695,6 +1626,7 @@ visibleWidth 4000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *101 (CommentText uid 24,0 @@ -1713,8 +1645,9 @@ uid 26,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "36200,46500,36200,46500" +xt "36200,46000,46200,47000" st " " @@ -1725,6 +1658,7 @@ visibleWidth 17000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *102 (CommentText uid 27,0 @@ -1743,8 +1677,9 @@ uid 29,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "32200,46500,32200,46500" +xt "32200,46000,34300,47000" st " Title: " @@ -1755,6 +1690,7 @@ visibleWidth 4000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *103 (CommentText uid 30,0 @@ -1773,8 +1709,9 @@ uid 32,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "53200,45200,67300,46400" +xt "53200,45200,62600,46200" st " " @@ -1784,6 +1721,7 @@ visibleHeight 4000 visibleWidth 20000 ) ignorePrefs 1 +titleBlock 1 ) *104 (CommentText uid 33,0 @@ -1802,10 +1740,11 @@ uid 35,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "57200,44500,57200,44500" +xt "57200,44000,58800,45000" st " - +%project_name " tm "CommentText" wrapOption 3 @@ -1814,6 +1753,7 @@ visibleWidth 16000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *105 (CommentText uid 36,0 @@ -1844,6 +1784,7 @@ visibleWidth 21000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *106 (CommentText uid 39,0 @@ -1862,8 +1803,9 @@ uid 41,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "32200,47500,32200,47500" +xt "32200,47000,34300,48000" st " Path: " @@ -1874,6 +1816,7 @@ visibleWidth 4000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *107 (CommentText uid 42,0 @@ -1892,8 +1835,9 @@ uid 44,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "32200,48500,32200,48500" +xt "32200,48000,34900,49000" st " Edited: " @@ -1904,6 +1848,7 @@ visibleWidth 4000 ) position 1 ignorePrefs 1 +titleBlock 1 ) *108 (CommentText uid 45,0 @@ -1922,8 +1867,9 @@ uid 47,0 va (VaSet fg "0,0,32768" bg "0,0,32768" +font "Arial,8,0" ) -xt "36200,47500,36200,47500" +xt "36200,47000,49200,48000" st " %library/%unit/%view " @@ -1934,6 +1880,7 @@ visibleWidth 17000 ) position 1 ignorePrefs 1 +titleBlock 1 ) ] shape (GroupingShape @@ -1958,7 +1905,7 @@ xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 -color "26368,26368,26368" +color "65535,0,0" ) packageList *109 (PackageList uid 48,0 @@ -1967,17 +1914,17 @@ textVec [ *110 (Text uid 49,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "0,0,6500,900" +xt "0,0,7600,1200" st "Package List" -blo "0,700" +blo "0,1000" ) *111 (MLText uid 50,0 va (VaSet ) -xt "0,1000,17500,4600" +xt "0,1200,17500,4800" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all;" @@ -1985,7 +1932,7 @@ tm "PackageList" ) ] ) -windowSize "0,0,895,750" +windowSize "0,0,1015,690" viewArea "0,0,0,0" cachedDiagramExtent "0,0,0,0" pageBreakOrigin "0,0" @@ -2029,9 +1976,9 @@ autoResize 1 text (MLText va (VaSet fg "0,0,32768" -font "Verdana,8,0" +font "arial,8,0" ) -xt "450,2150,1450,3150" +xt "500,2150,1400,3150" st " Text " @@ -2047,7 +1994,7 @@ va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" -lineWidth 2 +lineWidth 3 ) xt "0,0,20000,20000" ) @@ -2057,7 +2004,7 @@ text (Text va (VaSet font "Verdana,9,1" ) -xt "1000,1000,4400,2200" +xt "1000,1000,5000,2200" st "Panel0" blo "1000,2000" tm "PanelText" @@ -2077,7 +2024,7 @@ fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "15000,6000,35000,26000" +xt "15000,6000,44000,26000" ) biTextGroup (BiTextGroup ps "CenterOffsetStrategy" @@ -2086,17 +2033,17 @@ first (Text va (VaSet font "Verdana,9,1" ) -xt "22600,14800,27400,16000" +xt "26800,14800,32200,16000" st "" -blo "22600,15800" +blo "26800,15800" ) second (Text va (VaSet font "Verdana,9,1" ) -xt "22600,16000,25900,17200" +xt "26800,16000,30700,17200" st "" -blo "22600,17000" +blo "26800,17000" ) ) gi *112 (GenericInterface @@ -2104,18 +2051,23 @@ ps "CenterOffsetStrategy" matrix (Matrix text (MLText va (VaSet -isHidden 1 -font "Verdana,8,0" +font "Courier New,8,0" ) -xt "0,12000,0,12000" +xt "0,12000,11500,12800" +st "Generic Declarations" ) header "Generic Declarations" +showHdrWhenContentsEmpty 1 ) elements [ ] ) portInstanceVisAsIs 1 portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 ) ) defaultCptPort (CptPort @@ -2133,22 +2085,24 @@ ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet +font "Verdana,12,0" ) -xt "0,750,1800,1950" +xt "0,750,2900,2150" st "In0" -blo "0,1750" +blo "0,1950" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet -font "Verdana,8,0" +font "Courier New,8,0" ) ) thePort (LogicalPort +lang 11 decl (Decl n "In0" -t "std_logic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 0 ) @@ -2169,23 +2123,25 @@ ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet +font "Verdana,12,0" ) -xt "0,750,3600,1950" +xt "0,750,5300,2150" st "Buffer0" -blo "0,1750" +blo "0,1950" tm "CptPortNameMgr" ) ) dt (MLText va (VaSet -font "Verdana,8,0" +font "Courier New,8,0" ) ) thePort (LogicalPort +lang 11 m 3 decl (Decl n "Buffer0" -t "std_logic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 0 ) @@ -2197,57 +2153,58 @@ stg "SymDeclLayoutStrategy" declLabel (Text uid 2,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "42000,0,48500,900" +xt "42000,0,49400,1200" st "Declarations" -blo "42000,700" +blo "42000,1000" ) portLabel (Text uid 3,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "42000,900,45000,1800" +xt "42000,1200,45700,2400" st "Ports:" -blo "42000,1600" +blo "42000,2200" ) externalLabel (Text uid 4,0 va (VaSet -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "42000,13800,44500,14700" +xt "42000,14400,45200,15600" st "User:" -blo "42000,14500" +blo "42000,15400" ) internalLabel (Text uid 6,0 va (VaSet isHidden 1 -font "Verdana,8,1" +font "Verdana,9,1" ) -xt "42000,0,49500,900" +xt "42000,0,50200,1200" st "Internal User:" -blo "42000,700" +blo "42000,1000" ) externalText (MLText uid 5,0 va (VaSet -font "Verdana,8,0" +font "Courier New,8,0" ) -xt "44000,14700,44000,14700" +xt "44000,15600,44000,15600" tm "SyDeclarativeTextMgr" ) internalText (MLText uid 7,0 va (VaSet isHidden 1 -font "Verdana,8,0" +font "Courier New,8,0" ) xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1006,0 +lastUid 212,0 +activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hds/cursor_tester/test.vhd b/Cursor_test/hds/cursor_tester/test.vhd deleted file mode 100644 index f0beb44..0000000 --- a/Cursor_test/hds/cursor_tester/test.vhd +++ /dev/null @@ -1,118 +0,0 @@ -ARCHITECTURE test OF cursor_tester IS - - constant clockPeriod: time := 50 ns; - signal sClock: std_uLogic := '1'; - - constant pulsesPerTurn: integer := 200; - constant stepPeriodNb: positive := 8; - signal stepEn: std_uLogic := '0'; - signal direction: std_uLogic; - signal turning: std_uLogic; - signal stepCount: unsigned(10 downto 0) := (others => '0'); - -BEGIN - - ------------------------------------------------------------------------------ - -- clock and reset - -- - reset <= '1', '0' after clockPeriod/4; - - sClock <= not sClock after clockPeriod/2; - clock <= sClock after clockPeriod/10; - - - ------------------------------------------------------------------------------ - -- test sequence - -- - process - begin - - testMode <= '1'; - - restart <= '0'; - go1 <= '0'; - go2 <= '0'; - setPoint <= '0'; - - sensor1 <= '0'; - sensor2 <= '0'; - - wait for 1 us; - - ---------------------------------------------------------------------------- - -- advance to first stop point - go1 <= '1', '0' after 1 us; - wait for 4 ms; - - ---------------------------------------------------------------------------- - -- advance to second stop point - go2 <= '1', '0' after 1 us; - wait for 4 ms; - - ---------------------------------------------------------------------------- - -- back to start with sensor reset - restart <= '1', '0' after 1 us; - wait for 0.5 ms; - sensor1 <= '1', '0' after 1 us; - wait for 0.5 ms; - - ---------------------------------------------------------------------------- - -- advance to second stop point - go2 <= '1', '0' after 1 us; - wait for 7 ms; - - ---------------------------------------------------------------------------- - -- go back to first stop point - go1 <= '1', '0' after 1 us; - wait for 4 ms; - - ---------------------------------------------------------------------------- - -- back to start with counter stop - restart <= '1', '0' after 1 us; - wait for 4 ms; - sensor1 <= '1', '0' after 1 us; - wait for 1 ms; - - wait; - end process; - - ------------------------------------------------------------------------------ - -- motor feedback - -- - turning <= motorOn; - - findDirection: process(side1, side2) - begin - if (side1 = '1') and (side2 = '0') then - direction <= '1'; - elsif (side1 = '0') and (side2 = '1') then - direction <= '0'; - end if; - end process findDirection; - - stepEn <= not stepEn after (stepPeriodNb/4)*clockPeriod; - - count: process (stepEn) - begin - if turning = '1' then - if direction = '1' then - if stepCount < pulsesPerTurn-1 then - stepCount <= stepCount + 1; - else - stepCount <= to_unsigned(0, stepCount'length); - end if; - else - if stepCount > 0 then - stepCount <= stepCount - 1; - else - stepCount <= to_unsigned(pulsesPerTurn-1, stepCount'length); - end if; - end if; - end if; - end process count; - - encoderA <= stepCount(1); - encoderB <= not stepCount(1) xor stepCount(0); - encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; - -END test; diff --git a/Cursor_test/hds/pulse@width@modulator_tb/struct.bd b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd index 56f1ef2..2987510 100644 --- a/Cursor_test/hds/pulse@width@modulator_tb/struct.bd +++ b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd @@ -11,6 +11,10 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] instances [ (Instance @@ -28,22 +32,55 @@ mwi 0 uid 1774,0 ) (Instance -name "I0" +name "I3" duLibraryName "Cursor" -duName "pulseWidthModulator" +duName "Driver" +elements [ +] +mwi 0 +uid 3054,0 +) +(Instance +name "U_1" +duLibraryName "sequential" +duName "counterEnableResetSync" elements [ (GiElement -name "counterBitNb" +name "bitNb" type "positive" -value "counterBitNb" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" ) ] mwi 0 -uid 2122,0 +uid 3223,0 +) +(Instance +name "I0" +duLibraryName "Cursor_test" +duName "pwmtest" +elements [ +] +mwi 0 +uid 3343,0 +) +(Instance +name "I2" +duLibraryName "gates" +duName "logic1" +elements [ +] +mwi 0 +uid 3386,0 ) ] libraryRefs [ "ieee" +"gates" ] ) version "32.1" @@ -58,23 +95,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -94,27 +131,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" ) (vvPair variable "date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "day" -value "Mon" +value "ven." ) (vvPair variable "day_long" -value "Monday" +value "vendredi" ) (vvPair variable "dd" -value "11" +value "14" ) (vvPair variable "entity_name" @@ -138,11 +175,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "graphical_source_group" @@ -150,11 +187,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:13:22" +value "09:04:38" ) (vvPair variable "group" @@ -162,7 +199,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -182,7 +219,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "01" ) (vvPair variable "module_name" @@ -190,19 +227,19 @@ value "pulseWidthModulator_tb" ) (vvPair variable "month" -value "Nov" +value "janv." ) (vvPair variable "month_long" -value "November" +value "janvier" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\struct.bd" ) (vvPair variable "package_name" @@ -230,7 +267,7 @@ value "struct" ) (vvPair variable "time" -value "08:13:22" +value "09:04:38" ) (vvPair variable "unit" @@ -238,7 +275,7 @@ value "pulseWidthModulator_tb" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" @@ -250,11 +287,11 @@ value "struct" ) (vvPair variable "year" -value "2019" +value "2022" ) (vvPair variable "yy" -value "19" +value "22" ) ] ) @@ -276,7 +313,8 @@ isHidden 1 font "Courier New,9,0" ) xt "-5000,63800,11000,65000" -st "SIGNAL reset : std_ulogic" +st "SIGNAL reset : std_ulogic +" ) ) *2 (Net @@ -294,7 +332,8 @@ isHidden 1 font "Courier New,9,0" ) xt "-5000,57800,11000,59000" -st "SIGNAL clock : std_ulogic" +st "SIGNAL clock : std_ulogic +" ) ) *3 (Grouping @@ -379,7 +418,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "20200,66400,38600,67600" +xt "20200,66400,35900,67600" st " by %user on %dd %month %year " @@ -687,225 +726,145 @@ value "counterBitNb" ] ) ) -*18 (Net -uid 1963,0 -decl (Decl -n "en" -t "std_ulogic" -o 4 -suid 3,0 -) -declText (MLText -uid 1964,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -xt "0,29600,16000,30400" -st "SIGNAL en : std_ulogic" -) -) -*19 (Net -uid 2092,0 -decl (Decl -n "PWM" -t "std_ulogic" -o 1 -suid 4,0 -) -declText (MLText -uid 2093,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -xt "0,29600,16000,30400" -st "SIGNAL PWM : std_ulogic" -) -) -*20 (Net -uid 2094,0 -decl (Decl -n "amplitude" -t "unsigned" -b "(counterBitNb-1 DOWNTO 0)" -o 2 -suid 5,0 -) -declText (MLText -uid 2095,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -xt "0,29600,28000,30400" -st "SIGNAL amplitude : unsigned(counterBitNb-1 DOWNTO 0)" -) -) -*21 (SaComponent -uid 2122,0 +*18 (SaComponent +uid 3054,0 optionalChildren [ -*22 (CptPort -uid 2102,0 +*19 (CptPort +uid 3063,0 ps "OnEdgeStrategy" shape (Triangle -uid 2103,0 +uid 3064,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23250,30625,24000,31375" +xt "28250,25625,29000,26375" ) tg (CPTG -uid 2104,0 +uid 3065,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 2105,0 +uid 3066,0 va (VaSet +font "Verdana,12,0" ) -xt "25000,30500,28400,31700" +xt "30000,25300,33800,26700" st "clock" -blo "25000,31500" +blo "30000,26500" ) ) thePort (LogicalPort +lang 11 decl (Decl n "clock" t "std_ulogic" -o 2 -) -) -) -*23 (CptPort -uid 2106,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 2107,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "40000,24625,40750,25375" -) -tg (CPTG -uid 2108,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 2109,0 -va (VaSet -) -xt "35800,24500,39000,25700" -st "PWM" -ju 2 -blo "39000,25500" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "PWM" -t "std_ulogic" -o 5 -) -) -) -*24 (CptPort -uid 2110,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 2111,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "23250,24625,24000,25375" -) -tg (CPTG -uid 2112,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 2113,0 -va (VaSet -) -xt "25000,24500,30600,25700" -st "amplitude" -blo "25000,25500" -) -) -thePort (LogicalPort -decl (Decl -n "amplitude" -t "unsigned" -b "(counterBitNb-1 DOWNTO 0)" -o 1 -) -) -) -*25 (CptPort -uid 2114,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 2115,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "23250,26625,24000,27375" -) -tg (CPTG -uid 2116,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 2117,0 -va (VaSet -) -xt "25000,26500,26900,27700" -st "en" -blo "25000,27500" -) -) -thePort (LogicalPort -decl (Decl -n "en" -t "std_ulogic" o 3 ) ) ) -*26 (CptPort -uid 2118,0 +*20 (CptPort +uid 3067,0 ps "OnEdgeStrategy" shape (Triangle -uid 2119,0 +uid 3068,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23250,32625,24000,33375" +xt "37000,15625,37750,16375" ) tg (CPTG -uid 2120,0 +uid 3069,0 ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" +stg "RightVerticalLayoutStrategy" f (Text -uid 2121,0 +uid 3070,0 va (VaSet +font "Verdana,12,0" ) -xt "25000,32500,28300,33700" -st "reset" -blo "25000,33500" +xt "29700,15300,36000,16700" +st "motorOn" +ju 2 +blo "36000,16500" ) ) thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +) +) +) +*21 (CptPort +uid 3071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3072,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 3073,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3074,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,17300,34800,18700" +st "Power" +blo "30000,18500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +) +*22 (CptPort +uid 3075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3076,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,26625,29000,27375" +) +tg (CPTG +uid 3077,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3078,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,26300,34100,27700" +st "reset" +blo "30000,27500" +) +) +thePort (LogicalPort +lang 11 decl (Decl n "reset" t "std_ulogic" @@ -913,96 +872,783 @@ o 4 ) ) ) +*23 (CptPort +uid 3079,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3080,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,23625,37750,24375" +) +tg (CPTG +uid 3081,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3082,0 +va (VaSet +font "Verdana,12,0" +) +xt "31800,23300,36000,24700" +st "side1" +ju 2 +blo "36000,24500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +) +) +) +*24 (CptPort +uid 3083,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3084,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,21625,37750,22375" +) +tg (CPTG +uid 3085,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3086,0 +va (VaSet +font "Verdana,12,0" +) +xt "34800,26300,39000,27700" +st "side2" +ju 2 +blo "39000,27500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +) +) +) +*25 (CptPort +uid 3087,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3088,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,21625,29000,22375" +) +tg (CPTG +uid 3089,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3090,0 +va (VaSet +font "Verdana,12,0" +) +xt "31000,19300,35200,20700" +st "SideL" +blo "31000,20500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +) +) +) ] shape (Rectangle -uid 2123,0 +uid 3055,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) -xt "24000,21000,40000,35000" +xt "29000,15000,37000,29000" ) -oxt "-850,0,8850,10000" +oxt "15000,6000,23000,20000" ttg (MlTextGroup -uid 2124,0 +uid 3056,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*27 (Text -uid 2125,0 +*26 (Text +uid 3057,0 va (VaSet +font "Verdana,9,1" ) -xt "24100,35000,28200,36200" +xt "31150,20800,34850,22000" st "Cursor" -blo "24100,36000" +blo "31150,21800" tm "BdLibraryNameMgr" ) -*28 (Text -uid 2126,0 +*27 (Text +uid 3058,0 va (VaSet +font "Verdana,9,1" ) -xt "24100,36000,36000,37200" -st "pulseWidthModulator" -blo "24100,37000" +xt "31150,22000,34750,23200" +st "Driver" +blo "31150,23000" tm "CptNameMgr" ) -*29 (Text -uid 2127,0 +*28 (Text +uid 3059,0 va (VaSet +font "Verdana,9,1" ) -xt "24100,37000,26000,38200" -st "I0" -blo "24100,38000" +xt "31150,23200,32850,24400" +st "I3" +blo "31150,24200" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation -uid 2128,0 +uid 3060,0 ps "EdgeToEdgeStrategy" matrix (Matrix -uid 2129,0 +uid 3061,0 text (MLText -uid 2130,0 +uid 3062,0 va (VaSet font "Courier New,8,0" ) -xt "24000,38600,48000,39400" -st "counterBitNb = counterBitNb ( positive ) " +xt "3500,18000,3500,18000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*29 (SaComponent +uid 3223,0 +optionalChildren [ +*30 (CptPort +uid 3233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,-9375,20000,-8625" +) +tg (CPTG +uid 3235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3236,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,-9700,24800,-8300" +st "clock" +blo "21000,-8500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*31 (CptPort +uid 3237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3238,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,-13375,36750,-12625" +) +tg (CPTG +uid 3239,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3240,0 +va (VaSet +font "Verdana,12,0" +) +xt "28400,-13700,35000,-12300" +st "countOut" +ju 2 +blo "35000,-12500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*32 (CptPort +uid 3241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,-7375,20000,-6625" +) +tg (CPTG +uid 3243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3244,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,-7700,25100,-6300" +st "reset" +blo "21000,-6500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*33 (CptPort +uid 3245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,-13375,20000,-12625" +) +tg (CPTG +uid 3247,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3248,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,-13700,26100,-12300" +st "enable" +blo "21000,-12500" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*34 (CptPort +uid 3249,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3250,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,-15375,20000,-14625" +) +tg (CPTG +uid 3251,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3252,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,-15700,28000,-14300" +st "resetSync" +blo "21000,-14500" +) +) +thePort (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 3224,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,-19000,36000,-5000" +) +oxt "30000,9000,46000,23000" +ttg (MlTextGroup +uid 3225,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 3226,0 +va (VaSet +) +xt "20300,-4600,26900,-3400" +st "sequential" +blo "20300,-3600" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 3227,0 +va (VaSet +) +xt "20300,-3400,35000,-2200" +st "counterEnableResetSync" +blo "20300,-2400" +tm "CptNameMgr" +) +*37 (Text +uid 3228,0 +va (VaSet +) +xt "20300,-2200,23100,-1000" +st "U_1" +blo "20300,-1200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3229,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3230,0 +text (MLText +uid 3231,0 +va (VaSet +) +xt "20000,-600,37800,1800" +st "bitNb = 8 ( positive ) +delay = gateDelay ( time ) " ) header "" ) elements [ (GiElement -name "counterBitNb" +name "bitNb" type "positive" -value "counterBitNb" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" ) ] ) +viewicon (ZoomableIcon +uid 3232,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,-6750,21750,-5250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 portVis (PortSigDisplay sTC 0 +sT 1 ) archFileType "UNKNOWN" ) -*30 (Wire +*38 (Blk +uid 3343,0 +shape (Rectangle +uid 3344,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "21000,-34000,32000,-24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3345,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 3346,0 +va (VaSet +font "Verdana,12,1" +) +xt "21700,-31100,31300,-29700" +st "Cursor_test" +blo "21700,-29900" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 3347,0 +va (VaSet +font "Verdana,12,1" +) +xt "21700,-29700,28300,-28300" +st "pwmtest" +blo "21700,-28500" +tm "BlkNameMgr" +) +*41 (Text +uid 3348,0 +va (VaSet +font "Verdana,12,1" +) +xt "21700,-28300,23200,-26900" +st "I0" +blo "21700,-27100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3349,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3350,0 +text (MLText +uid 3351,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "21700,-21100,21700,-21100" +) +header "" +) +elements [ +] +) +) +*42 (Net +uid 3352,0 +decl (Decl +n "resetSync" +t "std_ulogic" +o 8 +suid 40,0 +) +declText (MLText +uid 3353,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,16000,1200" +st "SIGNAL resetSync : std_ulogic +" +) +) +*43 (Net +uid 3360,0 +decl (Decl +n "enable" +t "std_ulogic" +o 9 +suid 41,0 +) +declText (MLText +uid 3361,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,16000,1200" +st "SIGNAL enable : std_ulogic +" +) +) +*44 (Net +uid 3368,0 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 10 +suid 42,0 +) +declText (MLText +uid 3369,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,24500,1200" +st "SIGNAL countOut : unsigned(bitNb-1 DOWNTO 0) +" +) +) +*45 (SaComponent +uid 3386,0 +optionalChildren [ +*46 (CptPort +uid 3382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3383,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "16625,19000,17375,19750" +) +tg (CPTG +uid 3384,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3385,0 +va (VaSet +isHidden 1 +) +xt "18000,18000,22400,19200" +st "logic_1" +blo "18000,19000" +) +s (Text +uid 3395,0 +va (VaSet +) +xt "18000,19200,18000,19200" +blo "18000,19200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 3387,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,13000,19000,19000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 3388,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 3389,0 +va (VaSet +font "Verdana,8,1" +) +xt "13910,16700,17010,17700" +st "gates" +blo "13910,17500" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 3390,0 +va (VaSet +font "Verdana,8,1" +) +xt "13910,17700,17410,18700" +st "logic1" +blo "13910,18500" +tm "CptNameMgr" +) +*49 (Text +uid 3391,0 +va (VaSet +font "Verdana,8,1" +) +xt "13910,18700,15510,19700" +st "I2" +blo "13910,19500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3392,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3393,0 +text (MLText +uid 3394,0 +va (VaSet +font "Verdana,8,0" +) +xt "14000,21600,14000,21600" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*50 (Net +uid 3396,0 +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 6 +suid 43,0 +) +declText (MLText +uid 3397,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,16000,1200" +st "SIGNAL SideL : std_ulogic +" +) +) +*51 (Net +uid 3416,0 +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 7 +suid 44,0 +) +declText (MLText +uid 3417,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15000,1200" +st "SIGNAL clk : unsigned +" +) +) +*52 (Net +uid 3426,0 +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 8 +suid 45,0 +) +declText (MLText +uid 3427,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15000,1200" +st "SIGNAL rst : unsigned +" +) +) +*53 (Wire uid 1317,0 shape (OrthoPolyLine uid 1318,0 va (VaSet vasetType 3 ) -xt "20000,33000,23250,43000" +xt "20000,27000,28250,43000" pts [ -"23250,33000" -"20000,33000" +"28250,27000" +"20000,27000" "20000,43000" ] ) -start &26 +start &22 end &14 +ss 0 sat 32 eat 2 stc 0 @@ -1018,30 +1664,31 @@ uid 1322,0 va (VaSet font "Verdana,12,0" ) -xt "20000,31600,24100,33000" +xt "25000,25600,29100,27000" st "reset" -blo "20000,32800" +blo "25000,26800" tm "WireNameMgr" ) ) on &1 ) -*31 (Wire +*54 (Wire uid 1327,0 shape (OrthoPolyLine uid 1328,0 va (VaSet vasetType 3 ) -xt "18000,31000,23250,43000" +xt "18000,26000,28250,43000" pts [ -"23250,31000" -"18000,31000" +"28250,26000" +"18000,26000" "18000,43000" ] ) -start &22 +start &19 end &14 +ss 0 sat 32 eat 2 stc 0 @@ -1057,130 +1704,375 @@ uid 1332,0 va (VaSet font "Verdana,12,0" ) -xt "20000,29600,23800,31000" +xt "25000,24600,28800,26000" st "clock" -blo "20000,30800" +blo "25000,25800" tm "WireNameMgr" ) ) on &2 ) -*32 (Wire -uid 1965,0 +*55 (Wire +uid 3283,0 shape (OrthoPolyLine -uid 1966,0 +uid 3284,0 va (VaSet vasetType 3 ) -xt "14000,27000,23250,43000" +xt "3000,-7000,19250,-7000" pts [ -"23250,27000" -"14000,27000" -"14000,43000" +"3000,-7000" +"19250,-7000" ] ) -start &25 -end &14 -sat 32 -eat 2 +end &32 +es 0 +sat 16 +eat 32 st 0 sf 1 si 0 tg (WTG -uid 1969,0 +uid 3285,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text -uid 1970,0 +uid 3286,0 va (VaSet -font "Verdana,12,0" +isHidden 1 ) -xt "20250,25600,22650,27000" -st "en" -blo "20250,26800" +xt "5000,-8200,8300,-7000" +st "reset" +blo "5000,-7200" tm "WireNameMgr" ) ) -on &18 +on &1 ) -*33 (Wire -uid 2005,0 +*56 (Wire +uid 3305,0 shape (OrthoPolyLine -uid 2006,0 +uid 3306,0 va (VaSet vasetType 3 ) -xt "40750,25000,48000,43000" +xt "3000,-9000,19250,-9000" pts [ -"40750,25000" -"48000,25000" -"48000,43000" +"3000,-9000" +"19250,-9000" ] ) -start &23 -end &14 -sat 32 -eat 1 +end &30 +es 0 +sat 16 +eat 32 st 0 sf 1 si 0 tg (WTG -uid 2009,0 +uid 3307,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text -uid 2010,0 +uid 3308,0 va (VaSet -font "Verdana,12,0" +isHidden 1 ) -xt "42750,23600,46650,25000" -st "PWM" -blo "42750,24800" +xt "5000,-10200,8400,-9000" +st "clock" +blo "5000,-9200" tm "WireNameMgr" ) ) -on &19 +on &2 ) -*34 (Wire -uid 2096,0 +*57 (Wire +uid 3354,0 shape (OrthoPolyLine -uid 2097,0 +uid 3355,0 +va (VaSet +vasetType 3 +) +xt "17000,-27000,21000,-15000" +pts [ +"21000,-27000" +"17000,-27000" +"17000,-15000" +"19250,-15000" +] +) +start &38 +end &34 +sat 2 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3358,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3359,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,-28400,20000,-27000" +st "resetSync" +blo "13000,-27200" +tm "WireNameMgr" +) +) +on &42 +) +*58 (Wire +uid 3362,0 +shape (OrthoPolyLine +uid 3363,0 +va (VaSet +vasetType 3 +) +xt "12000,-29000,21000,-13000" +pts [ +"21000,-29000" +"12000,-29000" +"12000,-13000" +"19250,-13000" +] +) +start &38 +end &33 +sat 2 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3366,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3367,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,-30400,20100,-29000" +st "enable" +blo "15000,-29200" +tm "WireNameMgr" +) +) +on &43 +) +*59 (Wire +uid 3370,0 +optionalChildren [ +*60 (BdJunction +uid 3406,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3407,0 +va (VaSet +vasetType 1 +) +xt "38600,-13400,39400,-12600" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 3371,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "12000,25000,23250,43000" +xt "32000,-29000,41000,-13000" pts [ -"23250,25000" -"12000,25000" -"12000,43000" +"36750,-13000" +"41000,-13000" +"41000,-29000" +"32000,-29000" ] ) -start &24 -end &14 +start &31 +end &38 sat 32 -eat 2 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3375,0 +va (VaSet +font "Verdana,12,0" +) +xt "38750,-14400,45350,-13000" +st "countOut" +blo "38750,-13200" +tm "WireNameMgr" +) +) +on &44 +) +*61 (Wire +uid 3398,0 +shape (OrthoPolyLine +uid 3399,0 +va (VaSet +vasetType 3 +) +xt "17000,19000,28250,22000" +pts [ +"28250,22000" +"17000,22000" +"17000,19000" +] +) +start &25 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 3400,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3401,0 +va (VaSet +font "Verdana,12,0" +) +xt "23250,20600,27450,22000" +st "SideL" +blo "23250,21800" +tm "WireNameMgr" +) +) +on &50 +) +*62 (Wire +uid 3402,0 +shape (OrthoPolyLine +uid 3403,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,-13000,39000,18000" +pts [ +"39000,-13000" +"39000,10000" +"26000,10000" +"26000,18000" +"28250,18000" +] +) +start &60 +end &21 +sat 32 +eat 32 sty 1 stc 0 st 0 sf 1 si 0 tg (WTG -uid 2100,0 +uid 3404,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text -uid 2101,0 +uid 3405,0 va (VaSet font "Verdana,12,0" ) -xt "16250,23600,23250,25000" -st "amplitude" -blo "16250,24800" +xt "20250,16600,26850,18000" +st "countOut" +blo "20250,17800" tm "WireNameMgr" ) ) -on &20 +on &44 +) +*63 (Wire +uid 3408,0 +shape (OrthoPolyLine +uid 3409,0 +va (VaSet +vasetType 3 +) +xt "11000,-26000,21000,-26000" +pts [ +"11000,-26000" +"21000,-26000" +] +) +end &38 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3414,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3415,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,-27400,15400,-26000" +st "clk" +blo "13000,-26200" +tm "WireNameMgr" +) +) +on &51 +) +*64 (Wire +uid 3418,0 +shape (OrthoPolyLine +uid 3419,0 +va (VaSet +vasetType 3 +) +xt "11000,-25000,21000,-25000" +pts [ +"11000,-25000" +"21000,-25000" +] +) +end &38 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3424,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3425,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,-26400,15500,-25000" +st "rst" +blo "13000,-25200" +tm "WireNameMgr" +) +) +on &52 ) ] bg "65535,65535,65535" @@ -1194,11 +2086,11 @@ xShown 1 yShown 1 color "32768,32768,32768" ) -packageList *35 (PackageList +packageList *65 (PackageList uid 187,0 stg "VerticalLayoutStrategy" textVec [ -*36 (Text +*66 (Text uid 1297,0 va (VaSet font "Verdana,12,0" @@ -1207,14 +2099,16 @@ xt "-7000,19600,2500,21000" st "Package List" blo "-7000,20800" ) -*37 (MLText +*67 (MLText uid 1298,0 va (VaSet ) -xt "-7000,21000,10500,24600" +xt "-7000,21000,10500,27000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1223,7 +2117,7 @@ compDirBlock (MlTextGroup uid 190,0 stg "VerticalLayoutStrategy" textVec [ -*38 (Text +*68 (Text uid 191,0 va (VaSet isHidden 1 @@ -1233,7 +2127,7 @@ xt "20000,0,31000,1200" st "Compiler Directives" blo "20000,1000" ) -*39 (Text +*69 (Text uid 192,0 va (VaSet isHidden 1 @@ -1243,7 +2137,7 @@ xt "20000,1400,33000,2600" st "Pre-module directives:" blo "20000,2400" ) -*40 (MLText +*70 (MLText uid 193,0 va (VaSet isHidden 1 @@ -1254,7 +2148,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*41 (Text +*71 (Text uid 194,0 va (VaSet isHidden 1 @@ -1264,7 +2158,7 @@ xt "20000,5600,33500,6800" st "Post-module directives:" blo "20000,6600" ) -*42 (MLText +*72 (MLText uid 195,0 va (VaSet isHidden 1 @@ -1273,7 +2167,7 @@ font "arial,10,0" xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*43 (Text +*73 (Text uid 196,0 va (VaSet isHidden 1 @@ -1283,7 +2177,7 @@ xt "20000,7200,33200,8400" st "End-module directives:" blo "20000,8200" ) -*44 (MLText +*74 (MLText uid 197,0 va (VaSet isHidden 1 @@ -1295,9 +2189,9 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "7,31,1006,760" -viewArea "-11514,16771,71424,66801" -cachedDiagramExtent "-7000,0,66000,68000" +windowSize "0,0,1715,1119" +viewArea "-12592,-45176,77818,15077" +cachedDiagramExtent "-7000,-34000,66000,68000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," fileName "\\\\EIV\\a309_hplj4050.electro.eiv" @@ -1316,8 +2210,8 @@ exportedDirectories [ ] ) hasePageBreakOrigin 1 -pageBreakOrigin "-7000,19000" -lastUid 2239,0 +pageBreakOrigin "-7000,-79000" +lastUid 3433,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1406,7 +2300,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*45 (Text +*75 (Text va (VaSet font "Verdana,12,1" ) @@ -1415,7 +2309,7 @@ st "" blo "1500,3750" tm "BdLibraryNameMgr" ) -*46 (Text +*76 (Text va (VaSet font "Verdana,12,1" ) @@ -1424,7 +2318,7 @@ st "" blo "1500,5150" tm "BlkNameMgr" ) -*47 (Text +*77 (Text va (VaSet font "Verdana,12,1" ) @@ -1465,21 +2359,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*48 (Text +*78 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*49 (Text +*79 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*50 (Text +*80 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -1526,7 +2420,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*51 (Text +*81 (Text va (VaSet ) xt "-350,2550,1950,3550" @@ -1534,7 +2428,7 @@ st "Library" blo "-350,3350" tm "BdLibraryNameMgr" ) -*52 (Text +*82 (Text va (VaSet ) xt "-350,3550,5150,4550" @@ -1542,7 +2436,7 @@ st "SaComponent" blo "-350,4350" tm "CptNameMgr" ) -*53 (Text +*83 (Text va (VaSet ) xt "-350,4550,250,5550" @@ -1583,21 +2477,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*54 (Text +*84 (Text va (VaSet ) xt "-850,2550,1450,3550" st "Library" blo "-850,3350" ) -*55 (Text +*85 (Text va (VaSet ) xt "-850,3550,5250,4550" st "VhdlComponent" blo "-850,4350" ) -*56 (Text +*86 (Text va (VaSet ) xt "-850,4550,-250,5550" @@ -1640,21 +2534,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*57 (Text +*87 (Text va (VaSet ) xt "-1600,2550,700,3550" st "Library" blo "-1600,3350" ) -*58 (Text +*88 (Text va (VaSet ) xt "-1600,3550,5500,4550" st "VerilogComponent" blo "-1600,4350" ) -*59 (Text +*89 (Text va (VaSet ) xt "-1600,4550,-1000,5550" @@ -1695,7 +2589,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*60 (Text +*90 (Text va (VaSet ) xt "2950,3400,4150,4400" @@ -1703,7 +2597,7 @@ st "eb1" blo "2950,4200" tm "HdlTextNameMgr" ) -*61 (Text +*91 (Text va (VaSet ) xt "2950,4400,3350,5400" @@ -2132,7 +3026,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*62 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -2140,7 +3034,7 @@ xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) -*63 (MLText +*93 (MLText va (VaSet ) xt "11800,21200,11800,21200" @@ -2192,7 +3086,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*64 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -2200,7 +3094,7 @@ xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) -*65 (MLText +*95 (MLText va (VaSet ) xt "11800,21200,11800,21200" @@ -2348,46 +3242,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 5,0 +suid 45,0 usingSuid 1 -emptyRow *66 (LEmptyRow +emptyRow *96 (LEmptyRow ) uid 2142,0 optionalChildren [ -*67 (RefLabelRowHdr +*97 (RefLabelRowHdr ) -*68 (TitleRowHdr +*98 (TitleRowHdr ) -*69 (FilterRowHdr +*99 (FilterRowHdr ) -*70 (RefLabelColHdr +*100 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*71 (RowExpandColHdr +*101 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*72 (GroupColHdr +*102 (GroupColHdr tm "GroupColHdrMgr" ) -*73 (NameColHdr +*103 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*74 (ModeColHdr +*104 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*75 (TypeColHdr +*105 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*76 (BoundsColHdr +*106 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*77 (InitColHdr +*107 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*78 (EolColHdr +*108 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*79 (LeafLogPort +*109 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2399,7 +3293,7 @@ suid 1,0 ) uid 2131,0 ) -*80 (LeafLogPort +*110 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2411,49 +3305,88 @@ suid 2,0 ) uid 2133,0 ) -*81 (LeafLogPort +*111 (LeafLogPort port (LogicalPort m 4 decl (Decl -n "en" +n "resetSync" t "std_ulogic" -o 4 -suid 3,0 +o 8 +suid 40,0 ) ) -uid 2135,0 +uid 3376,0 ) -*82 (LeafLogPort +*112 (LeafLogPort port (LogicalPort m 4 decl (Decl -n "PWM" +n "enable" t "std_ulogic" -o 1 -suid 4,0 +o 9 +suid 41,0 ) ) -uid 2137,0 +uid 3378,0 ) -*83 (LeafLogPort +*113 (LeafLogPort port (LogicalPort m 4 decl (Decl -n "amplitude" +n "countOut" t "unsigned" -b "(counterBitNb-1 DOWNTO 0)" -o 2 -suid 5,0 +b "(bitNb-1 DOWNTO 0)" +o 10 +suid 42,0 ) ) -uid 2139,0 +uid 3380,0 +) +*114 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "SideL" +t "std_ulogic" +o 6 +suid 43,0 +) +) +uid 3428,0 +) +*115 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk" +t "unsigned" +o 7 +suid 44,0 +) +) +uid 3430,0 +) +*116 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst" +t "unsigned" +o 8 +suid 45,0 +) +) +uid 3432,0 ) ] ) pdm (PhysicalDM uid 2155,0 optionalChildren [ -*84 (Sheet +*117 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2470,61 +3403,79 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *85 (MRCItem -litem &66 -pos 3 +emptyMRCItem *118 (MRCItem +litem &96 +pos 8 dimension 20 ) uid 2157,0 optionalChildren [ -*86 (MRCItem -litem &67 +*119 (MRCItem +litem &97 pos 0 dimension 20 uid 2158,0 ) -*87 (MRCItem -litem &68 +*120 (MRCItem +litem &98 pos 1 dimension 23 uid 2159,0 ) -*88 (MRCItem -litem &69 +*121 (MRCItem +litem &99 pos 2 hidden 1 dimension 20 uid 2160,0 ) -*89 (MRCItem -litem &79 +*122 (MRCItem +litem &109 pos 0 dimension 20 uid 2132,0 ) -*90 (MRCItem -litem &80 +*123 (MRCItem +litem &110 pos 1 dimension 20 uid 2134,0 ) -*91 (MRCItem -litem &81 +*124 (MRCItem +litem &111 pos 2 dimension 20 -uid 2136,0 +uid 3377,0 ) -*92 (MRCItem -litem &82 +*125 (MRCItem +litem &112 pos 3 dimension 20 -uid 2138,0 +uid 3379,0 ) -*93 (MRCItem -litem &83 +*126 (MRCItem +litem &113 pos 4 dimension 20 -uid 2140,0 +uid 3381,0 +) +*127 (MRCItem +litem &114 +pos 5 +dimension 20 +uid 3429,0 +) +*128 (MRCItem +litem &115 +pos 6 +dimension 20 +uid 3431,0 +) +*129 (MRCItem +litem &116 +pos 7 +dimension 20 +uid 3433,0 ) ] ) @@ -2537,50 +3488,50 @@ textAngle 90 ) uid 2161,0 optionalChildren [ -*94 (MRCItem -litem &70 +*130 (MRCItem +litem &100 pos 0 dimension 20 uid 2162,0 ) -*95 (MRCItem -litem &72 +*131 (MRCItem +litem &102 pos 1 dimension 50 uid 2163,0 ) -*96 (MRCItem -litem &73 +*132 (MRCItem +litem &103 pos 2 dimension 100 uid 2164,0 ) -*97 (MRCItem -litem &74 +*133 (MRCItem +litem &104 pos 3 dimension 50 uid 2165,0 ) -*98 (MRCItem -litem &75 +*134 (MRCItem +litem &105 pos 4 dimension 100 uid 2166,0 ) -*99 (MRCItem -litem &76 +*135 (MRCItem +litem &106 pos 5 dimension 100 uid 2167,0 ) -*100 (MRCItem -litem &77 +*136 (MRCItem +litem &107 pos 6 dimension 50 uid 2168,0 ) -*101 (MRCItem -litem &78 +*137 (MRCItem +litem &108 pos 7 dimension 80 uid 2169,0 @@ -2600,38 +3551,38 @@ uid 2141,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *102 (LEmptyRow +emptyRow *138 (LEmptyRow ) uid 2171,0 optionalChildren [ -*103 (RefLabelRowHdr +*139 (RefLabelRowHdr ) -*104 (TitleRowHdr +*140 (TitleRowHdr ) -*105 (FilterRowHdr +*141 (FilterRowHdr ) -*106 (RefLabelColHdr +*142 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*107 (RowExpandColHdr +*143 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*108 (GroupColHdr +*144 (GroupColHdr tm "GroupColHdrMgr" ) -*109 (NameColHdr +*145 (NameColHdr tm "GenericNameColHdrMgr" ) -*110 (TypeColHdr +*146 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*111 (InitColHdr +*147 (InitColHdr tm "GenericValueColHdrMgr" ) -*112 (PragmaColHdr +*148 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*113 (EolColHdr +*149 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2639,7 +3590,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM uid 2183,0 optionalChildren [ -*114 (Sheet +*150 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2656,27 +3607,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *115 (MRCItem -litem &102 -pos 3 +emptyMRCItem *151 (MRCItem +litem &138 +pos 0 dimension 20 ) uid 2185,0 optionalChildren [ -*116 (MRCItem -litem &103 +*152 (MRCItem +litem &139 pos 0 dimension 20 uid 2186,0 ) -*117 (MRCItem -litem &104 +*153 (MRCItem +litem &140 pos 1 dimension 23 uid 2187,0 ) -*118 (MRCItem -litem &105 +*154 (MRCItem +litem &141 pos 2 hidden 1 dimension 20 @@ -2693,44 +3644,44 @@ textAngle 90 ) uid 2189,0 optionalChildren [ -*119 (MRCItem -litem &106 +*155 (MRCItem +litem &142 pos 0 dimension 20 uid 2190,0 ) -*120 (MRCItem -litem &108 +*156 (MRCItem +litem &144 pos 1 dimension 50 uid 2191,0 ) -*121 (MRCItem -litem &109 +*157 (MRCItem +litem &145 pos 2 dimension 100 uid 2192,0 ) -*122 (MRCItem -litem &110 +*158 (MRCItem +litem &146 pos 3 dimension 100 uid 2193,0 ) -*123 (MRCItem -litem &111 +*159 (MRCItem +litem &147 pos 4 dimension 50 uid 2194,0 ) -*124 (MRCItem -litem &112 +*160 (MRCItem +litem &148 pos 5 dimension 50 uid 2195,0 ) -*125 (MRCItem -litem &113 +*161 (MRCItem +litem &149 pos 6 dimension 80 uid 2196,0 @@ -2749,4 +3700,5 @@ vaOverrides [ uid 2170,0 type 1 ) +activeModelName "BlockDiag" ) diff --git a/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb index a1c48d3..23c98e9 100644 --- a/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb +++ b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb @@ -10,7 +10,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 2001,0 +suid 2003,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -328,23 +328,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -364,27 +364,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" ) (vvPair variable "date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "day" -value "Mon" +value "ven." ) (vvPair variable "day_long" -value "Monday" +value "vendredi" ) (vvPair variable "dd" -value "11" +value "14" ) (vvPair variable "entity_name" @@ -408,11 +408,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "graphical_source_group" @@ -420,11 +420,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:13:21" +value "08:40:19" ) (vvPair variable "group" @@ -432,7 +432,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -452,7 +452,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "01" ) (vvPair variable "module_name" @@ -460,19 +460,19 @@ value "pulseWidthModulator_tb" ) (vvPair variable "month" -value "Nov" +value "janv." ) (vvPair variable "month_long" -value "November" +value "janvier" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\symbol.sb" ) (vvPair variable "package_name" @@ -500,7 +500,7 @@ value "symbol" ) (vvPair variable "time" -value "08:13:21" +value "08:40:19" ) (vvPair variable "unit" @@ -508,7 +508,7 @@ value "pulseWidthModulator_tb" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" @@ -520,11 +520,11 @@ value "symbol" ) (vvPair variable "year" -value "2019" +value "2022" ) (vvPair variable "yy" -value "19" +value "22" ) ] ) @@ -539,7 +539,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "29000,13000,43000,27000" +xt "29000,13000,51000,27000" ) oxt "15000,6000,20000,26000" biTextGroup (BiTextGroup @@ -671,7 +671,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "27200,47400,42300,48600" +xt "27200,47400,42900,48600" st " by %user on %dd %month %year " @@ -1222,5 +1222,5 @@ xt "0,3400,0,3400" tm "SyDeclarativeTextMgr" ) ) -lastUid 111,0 +lastUid 217,0 ) diff --git a/Cursor_test/hds/pulse@width@modulator_tester/interface b/Cursor_test/hds/pulse@width@modulator_tester/interface index 21374a8..ee2dea4 100644 --- a/Cursor_test/hds/pulse@width@modulator_tester/interface +++ b/Cursor_test/hds/pulse@width@modulator_tester/interface @@ -10,9 +10,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -20,7 +25,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 2015,0 +suid 2031,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -63,68 +68,32 @@ tm "EolColHdrMgr" port (LogicalPort m 1 decl (Decl -n "amplitude" -t "unsigned" -b "(counterBitNb-1 DOWNTO 0)" -o 2 -suid 2011,0 -) -) -uid 280,0 -) -*15 (LogPort -port (LogicalPort -m 1 -decl (Decl n "clock" t "std_ulogic" o 3 -suid 2012,0 +suid 2030,0 ) ) -uid 282,0 +uid 490,0 ) -*16 (LogPort -port (LogicalPort -m 1 -decl (Decl -n "en" -t "std_ulogic" -o 4 -suid 2013,0 -) -) -uid 284,0 -) -*17 (LogPort -port (LogicalPort -decl (Decl -n "PWM" -t "std_ulogic" -o 1 -suid 2014,0 -) -) -uid 286,0 -) -*18 (LogPort +*15 (LogPort port (LogicalPort m 1 decl (Decl n "reset" t "std_ulogic" o 5 -suid 2015,0 +suid 2031,0 ) ) -uid 288,0 +uid 492,0 ) ] ) pdm (PhysicalDM uid 193,0 optionalChildren [ -*19 (Sheet +*16 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -141,61 +110,43 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *20 (MRCItem +emptyMRCItem *17 (MRCItem litem &1 pos 3 dimension 20 ) uid 128,0 optionalChildren [ -*21 (MRCItem +*18 (MRCItem litem &2 pos 0 dimension 20 uid 131,0 ) -*22 (MRCItem +*19 (MRCItem litem &3 pos 1 dimension 23 uid 133,0 ) -*23 (MRCItem +*20 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 135,0 ) -*24 (MRCItem +*21 (MRCItem litem &14 pos 0 dimension 20 -uid 281,0 +uid 491,0 ) -*25 (MRCItem +*22 (MRCItem litem &15 pos 1 dimension 20 -uid 283,0 -) -*26 (MRCItem -litem &16 -pos 2 -dimension 20 -uid 285,0 -) -*27 (MRCItem -litem &17 -pos 3 -dimension 20 -uid 287,0 -) -*28 (MRCItem -litem &18 -pos 4 -dimension 20 -uid 289,0 +uid 493,0 ) ] ) @@ -208,49 +159,49 @@ textAngle 90 ) uid 129,0 optionalChildren [ -*29 (MRCItem +*23 (MRCItem litem &5 pos 0 dimension 20 uid 137,0 ) -*30 (MRCItem +*24 (MRCItem litem &7 pos 1 dimension 50 uid 141,0 ) -*31 (MRCItem +*25 (MRCItem litem &8 pos 2 dimension 100 uid 143,0 ) -*32 (MRCItem +*26 (MRCItem litem &9 pos 3 dimension 50 uid 145,0 ) -*33 (MRCItem +*27 (MRCItem litem &10 pos 4 dimension 100 uid 147,0 ) -*34 (MRCItem +*28 (MRCItem litem &11 pos 5 dimension 100 uid 149,0 ) -*35 (MRCItem +*29 (MRCItem litem &12 pos 6 dimension 50 uid 151,0 ) -*36 (MRCItem +*30 (MRCItem litem &13 pos 7 dimension 80 @@ -271,41 +222,41 @@ uid 186,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *37 (LEmptyRow +emptyRow *31 (LEmptyRow ) uid 195,0 optionalChildren [ -*38 (RefLabelRowHdr +*32 (RefLabelRowHdr ) -*39 (TitleRowHdr +*33 (TitleRowHdr ) -*40 (FilterRowHdr +*34 (FilterRowHdr ) -*41 (RefLabelColHdr +*35 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*42 (RowExpandColHdr +*36 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*43 (GroupColHdr +*37 (GroupColHdr tm "GroupColHdrMgr" ) -*44 (NameColHdr +*38 (NameColHdr tm "GenericNameColHdrMgr" ) -*45 (TypeColHdr +*39 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*46 (InitColHdr +*40 (InitColHdr tm "GenericValueColHdrMgr" ) -*47 (PragmaColHdr +*41 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*48 (EolColHdr +*42 (EolColHdr tm "GenericEolColHdrMgr" ) -*49 (LogGeneric +*43 (LogGeneric generic (GiElement name "counterBitNb" type "positive" @@ -318,7 +269,7 @@ uid 184,0 pdm (PhysicalDM uid 196,0 optionalChildren [ -*50 (Sheet +*44 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -335,34 +286,34 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *51 (MRCItem -litem &37 +emptyMRCItem *45 (MRCItem +litem &31 pos 3 dimension 20 ) uid 160,0 optionalChildren [ -*52 (MRCItem -litem &38 +*46 (MRCItem +litem &32 pos 0 dimension 20 uid 163,0 ) -*53 (MRCItem -litem &39 +*47 (MRCItem +litem &33 pos 1 dimension 23 uid 165,0 ) -*54 (MRCItem -litem &40 +*48 (MRCItem +litem &34 pos 2 hidden 1 dimension 20 uid 167,0 ) -*55 (MRCItem -litem &49 +*49 (MRCItem +litem &43 pos 0 dimension 20 uid 185,0 @@ -378,44 +329,44 @@ textAngle 90 ) uid 161,0 optionalChildren [ -*56 (MRCItem -litem &41 +*50 (MRCItem +litem &35 pos 0 dimension 20 uid 169,0 ) -*57 (MRCItem -litem &43 +*51 (MRCItem +litem &37 pos 1 dimension 50 uid 173,0 ) -*58 (MRCItem -litem &44 +*52 (MRCItem +litem &38 pos 2 dimension 100 uid 175,0 ) -*59 (MRCItem -litem &45 +*53 (MRCItem +litem &39 pos 3 dimension 100 uid 177,0 ) -*60 (MRCItem -litem &46 +*54 (MRCItem +litem &40 pos 4 dimension 50 uid 179,0 ) -*61 (MRCItem -litem &47 +*55 (MRCItem +litem &41 pos 5 dimension 50 uid 181,0 ) -*62 (MRCItem -litem &48 +*56 (MRCItem +litem &42 pos 6 dimension 80 uid 183,0 @@ -442,23 +393,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -478,27 +429,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester" ) (vvPair variable "date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "day" -value "Mon" +value "ven." ) (vvPair variable "day_long" -value "Monday" +value "vendredi" ) (vvPair variable "dd" -value "11" +value "14" ) (vvPair variable "entity_name" @@ -522,11 +473,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "14.01.2022" ) (vvPair variable "graphical_source_group" @@ -534,11 +485,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:13:22" +value "09:04:38" ) (vvPair variable "group" @@ -546,7 +497,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -566,7 +517,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "01" ) (vvPair variable "module_name" @@ -574,19 +525,19 @@ value "pulseWidthModulator_tester" ) (vvPair variable "month" -value "Nov" +value "janv." ) (vvPair variable "month_long" -value "November" +value "janvier" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester\\interface" ) (vvPair variable "package_name" @@ -614,7 +565,7 @@ value "interface" ) (vvPair variable "time" -value "08:13:22" +value "09:04:38" ) (vvPair variable "unit" @@ -622,7 +573,7 @@ value "pulseWidthModulator_tester" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" @@ -634,73 +585,25 @@ value "interface" ) (vvPair variable "year" -value "2019" +value "2022" ) (vvPair variable "yy" -value "19" +value "22" ) ] ) LanguageMgr "VhdlLangMgr" uid 76,0 optionalChildren [ -*63 (SymbolBody +*57 (SymbolBody uid 8,0 optionalChildren [ -*64 (CptPort -uid 255,0 +*58 (CptPort +uid 480,0 ps "OnEdgeStrategy" shape (Triangle -uid 256,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "22625,5250,23375,6000" -) -tg (CPTG -uid 257,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 258,0 -ro 270 -va (VaSet -font "Verdana,12,0" -) -xt "22300,7000,23700,14000" -st "amplitude" -ju 2 -blo "23500,7000" -tm "CptPortNameMgr" -) -) -dt (MLText -uid 259,0 -va (VaSet -font "Courier New,8,0" -) -xt "44000,2800,73500,3600" -st "amplitude : OUT unsigned (counterBitNb-1 DOWNTO 0) ; -" -) -thePort (LogicalPort -m 1 -decl (Decl -n "amplitude" -t "unsigned" -b "(counterBitNb-1 DOWNTO 0)" -o 2 -suid 2011,0 -) -) -) -*65 (CptPort -uid 260,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 261,0 +uid 481,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -708,11 +611,11 @@ fg "0,65535,0" xt "28625,5250,29375,6000" ) tg (CPTG -uid 262,0 +uid 482,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 263,0 +uid 483,0 ro 270 va (VaSet font "Verdana,12,0" @@ -725,12 +628,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 264,0 +uid 484,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3600,61500,4400" -st "clock : OUT std_ulogic ; +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; " ) thePort (LogicalPort @@ -739,109 +642,15 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 2012,0 +suid 2030,0 ) ) ) -*66 (CptPort -uid 265,0 +*59 (CptPort +uid 485,0 ps "OnEdgeStrategy" shape (Triangle -uid 266,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "24625,5250,25375,6000" -) -tg (CPTG -uid 267,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 268,0 -ro 270 -va (VaSet -font "Verdana,12,0" -) -xt "24300,7000,25700,9400" -st "en" -ju 2 -blo "25500,7000" -tm "CptPortNameMgr" -) -) -dt (MLText -uid 269,0 -va (VaSet -font "Courier New,8,0" -) -xt "44000,4400,61500,5200" -st "en : OUT std_ulogic ; -" -) -thePort (LogicalPort -m 1 -decl (Decl -n "en" -t "std_ulogic" -o 4 -suid 2013,0 -) -) -) -*67 (CptPort -uid 270,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 271,0 -ro 180 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "58625,5250,59375,6000" -) -tg (CPTG -uid 272,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 273,0 -ro 270 -va (VaSet -font "Verdana,12,0" -) -xt "58300,7000,59700,10900" -st "PWM" -ju 2 -blo "59500,7000" -tm "CptPortNameMgr" -) -) -dt (MLText -uid 274,0 -va (VaSet -font "Courier New,8,0" -) -xt "44000,2000,61500,2800" -st "PWM : IN std_ulogic ; -" -) -thePort (LogicalPort -decl (Decl -n "PWM" -t "std_ulogic" -o 1 -suid 2014,0 -) -) -) -*68 (CptPort -uid 275,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 276,0 +uid 486,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -849,11 +658,11 @@ fg "0,65535,0" xt "30625,5250,31375,6000" ) tg (CPTG -uid 277,0 +uid 487,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 278,0 +uid 488,0 ro 270 va (VaSet font "Verdana,12,0" @@ -866,12 +675,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 279,0 +uid 489,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5200,60500,6000" -st "reset : OUT std_ulogic +xt "44000,2800,58500,3600" +st "reset : OUT std_ulogic " ) thePort (LogicalPort @@ -880,7 +689,7 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 2015,0 +suid 2031,0 ) ) ) @@ -918,7 +727,7 @@ st "pulseWidthModulator_tester" blo "32900,11000" ) ) -gi *69 (GenericInterface +gi *60 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -954,10 +763,10 @@ sTC 0 sF 0 ) ) -*70 (Grouping +*61 (Grouping uid 16,0 optionalChildren [ -*71 (CommentText +*62 (CommentText uid 18,0 shape (Rectangle uid 19,0 @@ -987,7 +796,7 @@ visibleWidth 17000 position 1 ignorePrefs 1 ) -*72 (CommentText +*63 (CommentText uid 21,0 shape (Rectangle uid 22,0 @@ -1017,7 +826,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*73 (CommentText +*64 (CommentText uid 24,0 shape (Rectangle uid 25,0 @@ -1047,7 +856,7 @@ visibleWidth 17000 position 1 ignorePrefs 1 ) -*74 (CommentText +*65 (CommentText uid 27,0 shape (Rectangle uid 28,0 @@ -1077,7 +886,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*75 (CommentText +*66 (CommentText uid 30,0 shape (Rectangle uid 31,0 @@ -1106,7 +915,7 @@ visibleWidth 20000 ) ignorePrefs 1 ) -*76 (CommentText +*67 (CommentText uid 33,0 shape (Rectangle uid 34,0 @@ -1136,7 +945,7 @@ visibleWidth 16000 position 1 ignorePrefs 1 ) -*77 (CommentText +*68 (CommentText uid 36,0 shape (Rectangle uid 37,0 @@ -1166,7 +975,7 @@ visibleWidth 21000 position 1 ignorePrefs 1 ) -*78 (CommentText +*69 (CommentText uid 39,0 shape (Rectangle uid 40,0 @@ -1196,7 +1005,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*79 (CommentText +*70 (CommentText uid 42,0 shape (Rectangle uid 43,0 @@ -1226,7 +1035,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*80 (CommentText +*71 (CommentText uid 45,0 shape (Rectangle uid 46,0 @@ -1281,11 +1090,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *81 (PackageList +packageList *72 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ -*82 (Text +*73 (Text uid 49,0 va (VaSet font "arial,8,1" @@ -1294,14 +1103,16 @@ xt "0,0,5400,1000" st "Package List" blo "0,800" ) -*83 (MLText +*74 (MLText uid 50,0 va (VaSet ) -xt "0,1000,17500,4600" +xt "0,1000,17500,7000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1421,7 +1232,7 @@ st "" blo "22600,17000" ) ) -gi *84 (GenericInterface +gi *75 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1513,7 +1324,7 @@ o 0 ) ) ) -DeclarativeBlock *85 (SymDeclBlock +DeclarativeBlock *76 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1539,9 +1350,9 @@ uid 4,0 va (VaSet font "Arial,8,1" ) -xt "42000,6000,44400,7000" +xt "42000,3600,44400,4600" st "User:" -blo "42000,6800" +blo "42000,4400" ) internalLabel (Text uid 6,0 @@ -1558,7 +1369,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7000,44000,7000" +xt "44000,4600,44000,4600" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1571,5 +1382,5 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 289,0 +lastUid 493,0 ) diff --git a/Cursor_test/hds/pwmtest/fsm.sm b/Cursor_test/hds/pwmtest/fsm.sm new file mode 100644 index 0000000..cb6cb06 --- /dev/null +++ b/Cursor_test/hds/pwmtest/fsm.sm @@ -0,0 +1,4369 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest" +) +(vvPair +variable "date" +value "14.01.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "pwmtest" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:09:57" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "pwmtest" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "09:09:57" +) +(vvPair +variable "unit" +value "pwmtest" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "40539,12809,47641,19911" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "42840,15660,45340,17060" +st "s0" +ju 0 +blo "44090,16860" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "43840,16560,48940,17960" +st "wait 2" +blo "43840,17760" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "44090,17460,44090,17460" +blo "44090,17460" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "47990,19660,48190,19860" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44090,16360,44090,16360" +pts [ +"44090,16360" +"44090,16360" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44090,16360,44090,16360" +pts [ +"44090,16360" +"44090,16360" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43640,16185,43990,16535" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43640,16185,43990,16535" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "44090,16360,44090,16360" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "44090,16360,44090,16360" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "44090,16360,44090,16360" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "41990,17560,48690,18760" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,21450,20700" +st "s0" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,21450,15700" +st "s0" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,46000,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 166,0 +shape (Circle +uid 167,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "51749,7469,58851,14571" +radius 3551 +) +name (Text +uid 168,0 +va (VaSet +font "Verdana,12,1" +) +xt "54050,10320,56550,11720" +st "s1" +ju 0 +blo "55300,11520" +tm "ONodeName" +) +wait (TextAssociate +uid 169,0 +ps "CenterOffsetStrategy" +text (Text +uid 170,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55050,11220,60150,12620" +st "wait 2" +blo "55050,12420" +tm "SmWaitText" +) +) +encoding (Text +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55300,12120,55300,12120" +blo "55300,12120" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 174,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 175,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "59200,14320,59400,14520" +) +autoResize 1 +tline (Line +uid 176,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55300,11020,55300,11020" +pts [ +"55300,11020" +"55300,11020" +] +) +bline (Line +uid 177,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55300,11020,55300,11020" +pts [ +"55300,11020" +"55300,11020" +] +) +ttri (Triangle +uid 178,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54850,10845,55200,11195" +) +btri (Triangle +uid 179,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54850,10845,55200,11195" +) +entryActions (MLText +uid 180,0 +va (VaSet +) +xt "55300,11020,55300,11020" +tm "Actions" +) +inActions (MLText +uid 181,0 +va (VaSet +) +xt "55300,11020,55300,11020" +tm "Actions" +) +exitActions (MLText +uid 182,0 +va (VaSet +) +xt "55300,11020,55300,11020" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 172,0 +ps "CenterOffsetStrategy" +text (MLText +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53200,12220,59900,13420" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 183,0 +shape (Circle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "63449,13949,70551,21051" +radius 3551 +) +name (Text +uid 185,0 +va (VaSet +font "Verdana,12,1" +) +xt "65750,16800,68250,18200" +st "s2" +ju 0 +blo "67000,18000" +tm "ONodeName" +) +wait (TextAssociate +uid 186,0 +ps "CenterOffsetStrategy" +text (Text +uid 187,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "66750,17700,71850,19100" +st "wait 2" +blo "66750,18900" +tm "SmWaitText" +) +) +encoding (Text +uid 188,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "67000,18600,67000,18600" +blo "67000,18600" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 192,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "70900,20800,71100,21000" +) +autoResize 1 +tline (Line +uid 193,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67000,17500,67000,17500" +pts [ +"67000,17500" +"67000,17500" +] +) +bline (Line +uid 194,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67000,17500,67000,17500" +pts [ +"67000,17500" +"67000,17500" +] +) +ttri (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "66550,17325,66900,17675" +) +btri (Triangle +uid 196,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "66550,17325,66900,17675" +) +entryActions (MLText +uid 197,0 +va (VaSet +) +xt "67000,17500,67000,17500" +tm "Actions" +) +inActions (MLText +uid 198,0 +va (VaSet +) +xt "67000,17500,67000,17500" +tm "Actions" +) +exitActions (MLText +uid 199,0 +va (VaSet +) +xt "67000,17500,67000,17500" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 189,0 +ps "CenterOffsetStrategy" +text (MLText +uid 190,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "64900,18700,71600,19900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 200,0 +shape (Circle +uid 201,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "62639,27809,69741,34911" +radius 3551 +) +name (Text +uid 202,0 +va (VaSet +font "Verdana,12,1" +) +xt "64940,30660,67440,32060" +st "s3" +ju 0 +blo "66190,31860" +tm "ONodeName" +) +wait (TextAssociate +uid 203,0 +ps "CenterOffsetStrategy" +text (Text +uid 204,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "65940,31560,71040,32960" +st "wait 2" +blo "65940,32760" +tm "SmWaitText" +) +) +encoding (Text +uid 205,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "66190,32460,66190,32460" +blo "66190,32460" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 208,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 209,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "70090,34660,70290,34860" +) +autoResize 1 +tline (Line +uid 210,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "66190,31360,66190,31360" +pts [ +"66190,31360" +"66190,31360" +] +) +bline (Line +uid 211,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "66190,31360,66190,31360" +pts [ +"66190,31360" +"66190,31360" +] +) +ttri (Triangle +uid 212,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65740,31185,66090,31535" +) +btri (Triangle +uid 213,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65740,31185,66090,31535" +) +entryActions (MLText +uid 214,0 +va (VaSet +) +xt "66190,31360,66190,31360" +tm "Actions" +) +inActions (MLText +uid 215,0 +va (VaSet +) +xt "66190,31360,66190,31360" +tm "Actions" +) +exitActions (MLText +uid 216,0 +va (VaSet +) +xt "66190,31360,66190,31360" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 206,0 +ps "CenterOffsetStrategy" +text (MLText +uid 207,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "64090,32560,70790,33760" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 217,0 +shape (Circle +uid 218,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50759,32219,57861,39321" +radius 3551 +) +name (Text +uid 219,0 +va (VaSet +font "Verdana,12,1" +) +xt "53060,35070,55560,36470" +st "s4" +ju 0 +blo "54310,36270" +tm "ONodeName" +) +wait (TextAssociate +uid 220,0 +ps "CenterOffsetStrategy" +text (Text +uid 221,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "54060,35970,59160,37370" +st "wait 2" +blo "54060,37170" +tm "SmWaitText" +) +) +encoding (Text +uid 222,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54310,36870,54310,36870" +blo "54310,36870" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 225,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 226,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "58210,39070,58410,39270" +) +autoResize 1 +tline (Line +uid 227,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54310,35770,54310,35770" +pts [ +"54310,35770" +"54310,35770" +] +) +bline (Line +uid 228,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54310,35770,54310,35770" +pts [ +"54310,35770" +"54310,35770" +] +) +ttri (Triangle +uid 229,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53860,35595,54210,35945" +) +btri (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53860,35595,54210,35945" +) +entryActions (MLText +uid 231,0 +va (VaSet +) +xt "54310,35770,54310,35770" +tm "Actions" +) +inActions (MLText +uid 232,0 +va (VaSet +) +xt "54310,35770,54310,35770" +tm "Actions" +) +exitActions (MLText +uid 233,0 +va (VaSet +) +xt "54310,35770,54310,35770" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 223,0 +ps "CenterOffsetStrategy" +text (MLText +uid 224,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "52210,36970,58910,38170" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 234,0 +shape (Circle +uid 235,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "40049,25289,47151,32391" +radius 3551 +) +name (Text +uid 236,0 +va (VaSet +font "Verdana,12,1" +) +xt "42350,28140,44850,29540" +st "s5" +ju 0 +blo "43600,29340" +tm "ONodeName" +) +wait (TextAssociate +uid 237,0 +ps "CenterOffsetStrategy" +text (Text +uid 238,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "43350,29040,48450,30440" +st "wait 2" +blo "43350,30240" +tm "SmWaitText" +) +) +encoding (Text +uid 239,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43600,29940,43600,29940" +blo "43600,29940" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 242,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 243,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "47500,32140,47700,32340" +) +autoResize 1 +tline (Line +uid 244,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43600,28840,43600,28840" +pts [ +"43600,28840" +"43600,28840" +] +) +bline (Line +uid 245,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43600,28840,43600,28840" +pts [ +"43600,28840" +"43600,28840" +] +) +ttri (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43150,28665,43500,29015" +) +btri (Triangle +uid 247,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43150,28665,43500,29015" +) +entryActions (MLText +uid 248,0 +va (VaSet +) +xt "43600,28840,43600,28840" +tm "Actions" +) +inActions (MLText +uid 249,0 +va (VaSet +) +xt "43600,28840,43600,28840" +tm "Actions" +) +exitActions (MLText +uid 250,0 +va (VaSet +) +xt "43600,28840,43600,28840" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 240,0 +ps "CenterOffsetStrategy" +text (MLText +uid 241,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "41500,30040,48200,31240" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 251,0 +shape (Spline +uid 252,0 +va (VaSet +vasetType 3 +) +xt "58634,12240,63994,15610" +pts [ +"58634,12240" +"63994,15610" +] +arrow 1 +) +start &19 +end &20 +es 0 +cond "condition" +tb (TransitionBlock +uid 253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 254,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "60814,13425,67114,15625" +) +autoResize 1 +lineShape (Line +uid 255,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63964,15525,63964,15525" +pts [ +"63964,15525" +"63964,15525" +] +) +condition (MLText +uid 256,0 +va (VaSet +) +xt "61314,13925,66614,15125" +st "condition" +tm "Condition" +) +actions (MLText +uid 257,0 +va (VaSet +) +xt "63964,15525,63964,15525" +tm "Actions" +) +) +tp (TransitionPriority +uid 258,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 259,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "58106,11513,60232,13639" +radius 1063 +) +pr (Text +uid 260,0 +va (VaSet +isHidden 1 +) +xt "58469,11976,59869,13176" +st "1" +ju 0 +blo "59169,12976" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 261,0 +shape (Spline +uid 262,0 +va (VaSet +vasetType 3 +) +xt "66397,21045,66793,27815" +pts [ +"66793,21045" +"66397,27815" +] +arrow 1 +) +start &20 +end &21 +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +uid 263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 264,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "66095,23930,72395,26130" +) +autoResize 1 +lineShape (Line +uid 265,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "69245,26030,69245,26030" +pts [ +"69245,26030" +"69245,26030" +] +) +condition (MLText +uid 266,0 +va (VaSet +) +xt "66595,24430,71895,25630" +st "condition" +tm "Condition" +) +actions (MLText +uid 267,0 +va (VaSet +) +xt "69245,26030,69245,26030" +tm "Actions" +) +) +tp (TransitionPriority +uid 268,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 269,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "65690,20658,67816,22784" +radius 1063 +) +pr (Text +uid 270,0 +va (VaSet +isHidden 1 +) +xt "66053,21121,67453,22321" +st "1" +ju 0 +blo "66753,22121" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 271,0 +shape (Spline +uid 272,0 +va (VaSet +vasetType 3 +) +xt "57639,32596,62861,34535" +pts [ +"62861,32596" +"57639,34535" +] +arrow 1 +) +start &21 +end &22 +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +uid 273,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 274,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "59750,33066,66050,35266" +) +autoResize 1 +lineShape (Line +uid 275,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "62900,35166,62900,35166" +pts [ +"62900,35166" +"62900,35166" +] +) +condition (MLText +uid 276,0 +va (VaSet +) +xt "60250,33566,65550,34766" +st "condition" +tm "Condition" +) +actions (MLText +uid 277,0 +va (VaSet +) +xt "62900,35166,62900,35166" +tm "Actions" +) +) +tp (TransitionPriority +uid 278,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 279,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "61275,31726,63401,33852" +radius 1063 +) +pr (Text +uid 280,0 +va (VaSet +isHidden 1 +) +xt "61638,32189,63038,33389" +st "1" +ju 0 +blo "62338,33189" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 281,0 +shape (Spline +uid 282,0 +va (VaSet +vasetType 3 +) +xt "46581,30769,51329,33841" +pts [ +"51329,33841" +"46581,30769" +] +arrow 1 +) +start &22 +end &23 +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +uid 283,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 284,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "48455,31805,54755,34005" +) +autoResize 1 +lineShape (Line +uid 285,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "51605,33905,51605,33905" +pts [ +"51605,33905" +"51605,33905" +] +) +condition (MLText +uid 286,0 +va (VaSet +) +xt "48955,32305,54255,33505" +st "condition" +tm "Condition" +) +actions (MLText +uid 287,0 +va (VaSet +) +xt "51605,33905,51605,33905" +tm "Actions" +) +) +tp (TransitionPriority +uid 288,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 289,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49791,32471,51917,34597" +radius 1063 +) +pr (Text +uid 290,0 +va (VaSet +isHidden 1 +) +xt "50154,32934,51554,34134" +st "1" +ju 0 +blo "50854,33934" +tm "TransitionPriority" +) +padding "100,100" +) +) +*30 (Transition +uid 291,0 +shape (Spline +uid 292,0 +va (VaSet +vasetType 3 +) +xt "43739,19908,43951,25292" +pts [ +"43739,25292" +"43951,19908" +] +arrow 1 +) +start &23 +end &2 +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43345,22100,49645,24300" +) +autoResize 1 +lineShape (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46495,24200,46495,24200" +pts [ +"46495,24200" +"46495,24200" +] +) +condition (MLText +uid 296,0 +va (VaSet +) +xt "43845,22600,49145,23800" +st "condition" +tm "Condition" +) +actions (MLText +uid 297,0 +va (VaSet +) +xt "46495,24200,46495,24200" +tm "Actions" +) +) +tp (TransitionPriority +uid 298,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 299,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42697,23691,44823,25817" +radius 1063 +) +pr (Text +uid 300,0 +va (VaSet +isHidden 1 +) +xt "43060,24154,44460,25354" +st "1" +ju 0 +blo "43760,25154" +tm "TransitionPriority" +) +padding "100,100" +) +) +*31 (Transition +uid 301,0 +shape (Spline +uid 302,0 +va (VaSet +vasetType 3 +) +xt "47296,12547,52094,14833" +pts [ +"47296,14833" +"52094,12547" +] +arrow 1 +) +start &2 +end &19 +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +uid 303,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 304,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "46545,12959,52845,15159" +) +autoResize 1 +lineShape (Line +uid 305,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "49695,15059,49695,15059" +pts [ +"49695,15059" +"49695,15059" +] +) +condition (MLText +uid 306,0 +va (VaSet +) +xt "47045,13459,52345,14659" +st "condition" +tm "Condition" +) +actions (MLText +uid 307,0 +va (VaSet +) +xt "49695,15059,49695,15059" +tm "Actions" +) +) +tp (TransitionPriority +uid 308,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 309,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46712,13541,48838,15667" +radius 1063 +) +pr (Text +uid 310,0 +va (VaSet +isHidden 1 +) +xt "47075,14004,48475,15204" +st "1" +ju 0 +blo "47775,15004" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*34 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*36 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*37 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*38 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*39 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*40 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*41 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "23800,-10479,110315,46602" +cachedDiagramExtent "0,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *42 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*44 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*45 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*46 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*47 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*48 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *49 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*51 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*52 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*53 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*54 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *55 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*57 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*58 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*59 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*60 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *61 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *62 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,72900,2600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +enable OUT COMB +resetSync OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *63 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +] +name "csm" +) +] +lastUid 310,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *64 (LEmptyRow +) +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "SmNameColHdrMgr" +) +*72 (ModeColHdr +tm "SmModeColHdrMgr" +) +*73 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*75 (InitColHdr +tm "SmInitColHdrMgr" +) +*76 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*77 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*78 (ColumnHdr +tm "SmExprColHdrMgr" +) +*79 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*80 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*81 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*82 (EolColHdr +tm "SmEolColHdrMgr" +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*84 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 2 +) +) +uid 158,0 +scheme 0 +) +*85 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 3 +) +) +uid 160,0 +scheme 0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 4 +) +) +uid 162,0 +cat 1 +scheme 0 +expr "clk'EVENT AND clk = '1'" +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 5 +) +) +uid 164,0 +cat 8 +scheme 0 +expr "rst = '0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *89 (MRCItem +litem &64 +pos 5 +dimension 20 +) +optionalChildren [ +*90 (MRCItem +litem &65 +pos 0 +dimension 20 +) +*91 (MRCItem +litem &66 +pos 1 +dimension 23 +) +*92 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +) +*93 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 157,0 +) +*94 (MRCItem +litem &84 +pos 1 +dimension 20 +uid 159,0 +) +*95 (MRCItem +litem &85 +pos 2 +dimension 20 +uid 161,0 +) +*96 (MRCItem +litem &86 +pos 3 +dimension 20 +uid 163,0 +) +*97 (MRCItem +litem &87 +pos 4 +dimension 20 +uid 165,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*98 (MRCItem +litem &68 +pos 0 +dimension 20 +) +*99 (MRCItem +litem &70 +pos 1 +dimension 50 +) +*100 (MRCItem +litem &71 +pos 2 +dimension 70 +) +*101 (MRCItem +litem &72 +pos 3 +dimension 50 +) +*102 (MRCItem +litem &73 +pos 4 +dimension 80 +) +*103 (MRCItem +litem &74 +pos 5 +dimension 80 +) +*104 (MRCItem +litem &75 +pos 6 +dimension 40 +) +*105 (MRCItem +litem &76 +pos 7 +dimension 100 +) +*106 (MRCItem +litem &77 +pos 8 +dimension 60 +) +*107 (MRCItem +litem &78 +pos 9 +dimension 130 +) +*108 (MRCItem +litem &79 +pos 10 +dimension 56 +) +*109 (MRCItem +litem &80 +pos 11 +dimension 50 +) +*110 (MRCItem +litem &81 +pos 12 +dimension 50 +) +*111 (MRCItem +litem &82 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor_test/hds/pwmtest/interface b/Cursor_test/hds/pwmtest/interface new file mode 100644 index 0000000..c0b213b --- /dev/null +++ b/Cursor_test/hds/pwmtest/interface @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 1 +suid 6,0 +) +) +uid 191,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +uid 193,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 8,0 +) +) +uid 195,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 9,0 +) +) +uid 197,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 3 +suid 10,0 +) +) +uid 199,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*24 (MRCItem +litem &14 +pos 3 +dimension 20 +uid 192,0 +) +*25 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 194,0 +) +*26 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 196,0 +) +*27 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 198,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 200,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 118,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 119,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 122,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 123,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 124,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 125,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 126,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 127,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest" +) +(vvPair +variable "date" +value "14.01.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "pwmtest" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.01.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:09:57" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "pwmtest" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pwmtest\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:09:57" +) +(vvPair +variable "unit" +value "pwmtest" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 169,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,18400,14700" +st "clk" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 170,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60500,3200" +st "clk : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 1 +suid 6,0 +) +) +) +*63 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26000,10625,26750,11375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "Verdana,12,0" +) +xt "18400,10300,25000,11700" +st "countOut" +ju 2 +blo "25000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 175,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,70000,4000" +st "countOut : IN unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +) +*64 (CptPort +uid 176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 177,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 179,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,21100,11700" +st "enable" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 180,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "enable : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 8,0 +) +) +) +*65 (CptPort +uid 181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 182,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 184,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,23000,13700" +st "resetSync" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 185,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "resetSync : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 9,0 +) +) +) +*66 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 189,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,18500,15700" +st "rst" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "rst : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 3 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,26000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17000,9800,24000,11000" +st "Cursor_test" +blo "17000,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17000,11000,22100,12200" +st "pwmtest" +blo "17000,12000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-12000,7000,-500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "pulseWidthModulator_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 269,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf index 376bb70..3eea781 100644 --- a/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf +++ b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_2008 +DIALECT atom VHDL_ANY diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf index 376bb70..3eea781 100644 --- a/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_2008 +DIALECT atom VHDL_ANY diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf index 376bb70..3eea781 100644 --- a/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_2008 +DIALECT atom VHDL_ANY diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf index 376bb70..3eea781 100644 --- a/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_2008 +DIALECT atom VHDL_ANY diff --git a/Prefs/hds_team/v2019.4/hds_team_prefs b/Prefs/hds_team/v2019.4/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2019.4/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2019.4/title_block.tmpl b/Prefs/hds_team/v2019.4/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2019.4/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index 0c307c5..58d0e82 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -1268,8 +1268,8 @@ projectPaths [ "C:\\work\\git\\Education\\eln\\projects\\student\\eln_chrono\\Prefs\\hds.hdp" "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" "C:\\work\\edu\\eln\\project\\eln_cursor\\Prefs\\hds.hdp" -"C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\hds.hdp" -"C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\hds.hdp" +"C:\\work\\eln\\project\\eln_cursor\\Prefs\\hds.hdp" +"U:\\Cursor\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -1290,262 +1290,244 @@ exportedDirectories [ exportStdIncludeRefs 1 exportStdPackageRefs 1 ) -printerName "PR_FOLLOWME" +printerName "Adobe PDF" pageSizes [ (PageSizeInfo -name "12\" x 18\"" -type 512 -width 1106 -height 1658 +name "Letter" +width 783 +height 1013 ) (PageSizeInfo -name "11\" x 17\"" -type 17 +name "Tabloid" +type 3 width 1013 height 1566 ) (PageSizeInfo -name "Legal (8,5\" x 14\")" +name "Ledger" +type 4 +width 1566 +height 1013 +) +(PageSizeInfo +name "Legal" type 5 width 783 height 1290 ) (PageSizeInfo -name "Letter (8,5\" x 11\")" -width 783 -height 1013 -) -(PageSizeInfo -name "Executive (7,25\"x10,5\")" +name "Executive" type 7 width 667 height 967 ) (PageSizeInfo -name "5,5\" x 8,5\"" -type 6 -width 506 -height 783 -) -(PageSizeInfo -name "A3 (297 x 420 mm)" +name "A3" type 8 width 1077 height 1523 ) (PageSizeInfo -name "A4 (210 x 297 mm)" +name "A4" type 9 width 761 height 1077 ) (PageSizeInfo -name "A5 (148 x 210 mm)" -type 11 -width 538 -height 761 +name "A2" +type 66 +width 1523 +height 2155 ) (PageSizeInfo -name "A6 (105 x 148 mm)" -type 70 -width 380 -height 538 +name "11 x 17" +type 119 +width 1013 +height 1566 ) (PageSizeInfo -name "B4 JIS (257 x 364 mm)" -type 12 -width 932 -height 1320 +name "Screen" +type 120 +width 599 +height 477 ) (PageSizeInfo -name "B5 JIS (182 x 257 mm)" -type 13 -width 660 -height 932 +name "ANSI C" +type 121 +width 1566 +height 2027 ) (PageSizeInfo -name "B6 JIS (128 x 182 mm)" -type 88 -width 464 -height 660 +name "ANSI D" +type 122 +width 2027 +height 3133 ) (PageSizeInfo -name "8\" x 13\"" -type 518 -width 737 -height 1198 +name "ANSI E" +type 123 +width 3133 +height 4055 ) (PageSizeInfo -name "8,25\" x 13\"" -type 519 -width 760 -height 1198 +name "ANSI F" +type 124 +width 2580 +height 3686 ) (PageSizeInfo -name "8,5\" x 13\"" -type 14 -width 783 -height 1198 +name "ARCH A" +type 125 +width 829 +height 1105 ) (PageSizeInfo -name "Com10 Env.(4,125\"x9,5\")" -type 20 -width 380 -height 875 +name "ARCH B" +type 126 +width 1105 +height 1658 ) (PageSizeInfo -name "Env.Monar.(3,875\"x7,5\")" -type 37 -width 357 -height 691 +name "ARCH C" +type 127 +width 1658 +height 2211 ) (PageSizeInfo -name "Env. DL (110 x 220 mm)" -type 27 -width 399 -height 798 +name "ARCH D" +type 128 +width 2211 +height 3317 ) (PageSizeInfo -name "Env. C6 (114 x 162 mm)" -type 31 -width 413 -height 587 +name "ARCH E" +type 129 +width 3317 +height 4423 ) (PageSizeInfo -name "Env. C5 (162 x 229 mm)" -type 28 +name "ARCH E1" +type 130 +width 2764 +height 3870 +) +(PageSizeInfo +name "ARCH E2" +type 131 +width 2396 +height 3502 +) +(PageSizeInfo +name "ARCH E3" +type 132 +width 2488 +height 3594 +) +(PageSizeInfo +name "A1" +type 133 +width 2155 +height 3051 +) +(PageSizeInfo +name "A0" +type 134 +width 3051 +height 4313 +) +(PageSizeInfo +name "Oversize A2" +type 135 +width 1741 +height 2268 +) +(PageSizeInfo +name "Oversize A1" +type 136 +width 2268 +height 3265 +) +(PageSizeInfo +name "Oversize A0" +type 137 +width 3265 +height 4516 +) +(PageSizeInfo +name "ISO B5" +type 138 +width 638 +height 907 +) +(PageSizeInfo +name "ISO B4" +type 139 +width 907 +height 1281 +) +(PageSizeInfo +name "ISO B2" +type 140 +width 1813 +height 2564 +) +(PageSizeInfo +name "ISO B1" +type 141 +width 2564 +height 3628 +) +(PageSizeInfo +name "C5" +type 142 width 587 height 830 ) (PageSizeInfo -name "8K (267 x 390 mm)" -type 520 -width 968 -height 1415 +name "JIS B4" +type 143 +width 932 +height 1320 ) (PageSizeInfo -name "16K (195 x 267 mm)" -type 521 -width 707 -height 968 +name "JIS B3" +type 144 +width 1320 +height 1868 ) (PageSizeInfo -name "100 x 148 mm" -type 43 -width 362 -height 536 +name "JIS B2" +type 145 +width 1868 +height 2641 ) (PageSizeInfo -name "148 x 200 mm" -type 82 -width 536 -height 725 +name "JIS B1" +type 146 +width 2641 +height 3737 ) (PageSizeInfo -name "JPN Env. #3(120 x 235 mm)" -type 73 -width 435 -height 852 +name "JIS B0" +type 147 +width 3737 +height 5282 ) (PageSizeInfo -name "JPN Env. #4(90 x 205 mm)" -type 74 -width 326 -height 743 +name "92 x 92" +type 148 +width 8478 +height 8478 ) (PageSizeInfo -name "Yoh Chou #3 E.(120x235mm)" -type 540 -width 435 -height 852 +name "Slide 7.5 x 10" +type 149 +width 691 +height 921 ) (PageSizeInfo -name "Yoh #4 Env. (105 x 235 mm)" -type 91 -width 380 -height 852 -) -(PageSizeInfo -name "Kaku #2 Env. (240 x 332 mm)" -type 71 -width 870 -height 1204 -) -(PageSizeInfo -name "SRA3 (320 x 450 mm)" -type 530 -width 1161 -height 1632 -) -(PageSizeInfo -name "SRA4 (225 x 320 mm)" -type 531 -width 816 -height 1161 -) -(PageSizeInfo -name "Format papier personnalisé" -type 256 -width 783 -height 1013 -) -(PageSizeInfo -name "Format papier personnalisé1(210,0 x 297,0 mm)" -type 257 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé2(210,0 x 297,0 mm)" -type 258 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé3(210,0 x 297,0 mm)" -type 259 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé4(210,0 x 297,0 mm)" -type 260 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé5(210,0 x 297,0 mm)" -type 261 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé6(210,0 x 297,0 mm)" -type 262 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé7(210,0 x 297,0 mm)" -type 263 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé8(210,0 x 297,0 mm)" -type 264 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé9(210,0 x 297,0 mm)" -type 265 -width 761 -height 1077 -) -(PageSizeInfo -name "Format papier personnalisé10(210,0 x 297,0 mm)" -type 266 +name "PostScript Custom Page Size" +type 32767 width 761 height 1077 ) @@ -4173,6 +4155,7 @@ second "" ] mwParamsVisibility (mwParamsVisibilityOptions ) +autoPopupExprBuilder 0 showExprBldrHint 0 searchStrings [ ] @@ -4181,7 +4164,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "770x454+563+50" +logWindowGeometry "661x518+1161+196" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 @@ -6203,34 +6186,26 @@ size 180 ) ] displayHierarchy 0 -xPos 0 -yPos 0 -width 1552 -height 936 +xPos 457 +yPos 125 +width 974 +height 1057 activeSidePanelTab 2 -activeLibraryTab 3 +activeLibraryTab 1 sidePanelSize 278 showUnixHiddenFiles 0 -componentBrowserXpos 158 -componentBrowserYpos 308 -componentBrowserWidth 483 -componentBrowserHeight 491 +componentBrowserXpos 809 +componentBrowserYpos 225 +componentBrowserWidth 689 +componentBrowserHeight 592 componentBrowserLibraryNames [ "Board" "gates" "Common" -"io" -"sequential" -"LCD_test" -"synopsys" -"verilog" -"vital2000" -"LCD" -"Memory" -"std" -"Memory_test" -"ieee" "Cursor" +"sequential" +"Memory_test" +"Memory" ] ) (SmPreferences @@ -6695,7 +6670,6 @@ font "Courier New,8,0" ) order 0 editSignalScope 4 -promptGenOrderIndicator 0 showUpdateWhereUsedPrompt 0 ) ] diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk index 6962f42..2c0bcfc 100644 --- a/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -46,7 +46,7 @@ settingsMap [ "GlitchGeneration" "1" "InitCmd" -"" +"C:/work/eln/project/eln_cursor_stud/Simulation/cursor.do" "LogFile" "" "RemoteHost" @@ -65,6 +65,8 @@ settingsMap [ "1" "UseBatch" "0" +"UseCLI" +"0" "UseGUI" "1" "VitalVersion" diff --git a/Simulation/cursor.do b/Simulation/cursor.do index de78b85..aaac140 100644 --- a/Simulation/cursor.do +++ b/Simulation/cursor.do @@ -1,8 +1,8 @@ onerror {resume} quietly WaveActivateNextPane {} 0 -add wave -noupdate -group {reset and clock} /cursor_tb/reset -add wave -noupdate -group {reset and clock} /cursor_tb/clock -add wave -noupdate -group {reset and clock} /cursor_tb/testMode +add wave -noupdate -expand -group {reset and clock} /cursor_tb/reset +add wave -noupdate -expand -group {reset and clock} /cursor_tb/clock +add wave -noupdate -expand -group {reset and clock} /cursor_tb/testMode add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/restart add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go1 add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go2 @@ -11,23 +11,22 @@ add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/sensor2 add wave -noupdate -group Encoder /cursor_tb/encoderA add wave -noupdate -group Encoder /cursor_tb/encoderB add wave -noupdate -group Encoder /cursor_tb/encoderI -add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/I_ctrl/current_state -add wave -noupdate -expand -group Internals -radix unsigned /cursor_tb/I_DUT/selectPosition -add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/zeroSpeed -add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/fullSpeed -add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/rampEnable -add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/endReached -add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 255.0 -radix unsigned /cursor_tb/I_DUT/amplitude -add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 14000.0 -radix unsigned /cursor_tb/I_DUT/position -add wave -noupdate -expand -group {Motor control} /cursor_tb/motorOn -add wave -noupdate -expand -group {Motor control} /cursor_tb/side1 -add wave -noupdate -expand -group {Motor control} /cursor_tb/side2 +add wave -noupdate -expand -group Internals -format Analog-Step -height 74 -max 255.0 /cursor_tb/I_DUT/Power +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/RaZ +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/button +add wave -noupdate -expand -group Internals -radix unsigned -childformat {{/cursor_tb/I_DUT/Position(15) -radix unsigned} {/cursor_tb/I_DUT/Position(14) -radix unsigned} {/cursor_tb/I_DUT/Position(13) -radix unsigned} {/cursor_tb/I_DUT/Position(12) -radix unsigned} {/cursor_tb/I_DUT/Position(11) -radix unsigned} {/cursor_tb/I_DUT/Position(10) -radix unsigned} {/cursor_tb/I_DUT/Position(9) -radix unsigned} {/cursor_tb/I_DUT/Position(8) -radix unsigned} {/cursor_tb/I_DUT/Position(7) -radix unsigned} {/cursor_tb/I_DUT/Position(6) -radix unsigned} {/cursor_tb/I_DUT/Position(5) -radix unsigned} {/cursor_tb/I_DUT/Position(4) -radix unsigned} {/cursor_tb/I_DUT/Position(3) -radix unsigned} {/cursor_tb/I_DUT/Position(2) -radix unsigned} {/cursor_tb/I_DUT/Position(1) -radix unsigned} {/cursor_tb/I_DUT/Position(0) -radix unsigned}} -subitemconfig {/cursor_tb/I_DUT/Position(15) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(14) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(13) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(12) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(11) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(10) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(9) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(8) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(7) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(6) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(5) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(4) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(3) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(2) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(1) {-height 15 -radix unsigned} /cursor_tb/I_DUT/Position(0) {-height 15 -radix unsigned}} /cursor_tb/I_DUT/Position +add wave -noupdate -group {Motor control} /cursor_tb/motorOn +add wave -noupdate -group {Motor control} /cursor_tb/side1 +add wave -noupdate -group {Motor control} /cursor_tb/side2 add wave -noupdate /cursor_tb/I_DUT/testOut +add wave -noupdate /cursor_tb/I_DUT/I1/U_0/current_state +add wave -noupdate /cursor_tb/I_DUT/I4/current_state +add wave -noupdate -divider counter TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {18011924 ns} 0} +WaveRestoreCursors {{Cursor 1} {2727652488 ps} 0} quietly wave cursor active 1 -configure wave -namecolwidth 240 -configure wave -valuecolwidth 71 +configure wave -namecolwidth 320 +configure wave -valuecolwidth 80 configure wave -justifyvalue left configure wave -signalnamewidth 0 configure wave -snapdistance 10 @@ -40,4 +39,4 @@ configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ms update -WaveRestoreZoom {0 ns} {21 ms} +WaveRestoreZoom {2727137385 ps} {2729700863 ps} diff --git a/TODO.txt b/TODO.txt deleted file mode 100644 index e69de29..0000000 diff --git a/errors_simu_1.log b/errors_simu_1.log deleted file mode 100644 index 11acee4..0000000 --- a/errors_simu_1.log +++ /dev/null @@ -1,266 +0,0 @@ - -Performing generation for single diagram... -Checking which design units need saving -Incrementally generating HDL... - -. -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor_test\hdl\cursor_tb_struct.vhg - -Generation completed successfully. --------------------------------------------------------- -Comparing HDL files with compiled files ... - - Current working directory is C:/Users/remi/OneDrive/Documents/Cours/05-HEVS/S1fb/electricity/1-EIN/project/cursor/HDLdesigner/Cursor/Scripts - -Executing data preparation plug-in for 10.7c - - -Performing compile... -Library Cursor_test -Model Technology ModelSim SE vmap 10.7c Lib Mapping Utility 2018.08 Aug 18 2018 -vmap -c -Copying C:/eda/MentorGraphics/modelsim/win32/../modelsim.ini to modelsim.ini -Writing temporary output file "C:/Users/remi/AppData/Local/Temp/Files0". -Start time: 21:06:29 on Dec 20,2021 -vcom -work Cursor_test -nologo -2008 -f C:/Users/remi/AppData/Local/Temp/Files0 --- Loading package STANDARD --- Compiling entity cursor_tb --- Loading package TEXTIO --- Loading package std_logic_1164 --- Loading package NUMERIC_STD -** Error: C:/Users/remi/OneDrive/Documents/Cours/05-HEVS/S1fb/electricity/1-EIN/project/cursor/HDLdesigner/Cursor/Prefs/../Cursor_test/hdl/cursor_tb_struct.vhg(14): (vcom-1598) Library "cursor" not found. -** Note: C:/Users/remi/OneDrive/Documents/Cours/05-HEVS/S1fb/electricity/1-EIN/project/cursor/HDLdesigner/Cursor/Prefs/../Cursor_test/hdl/cursor_tb_struct.vhg(17): VHDL Compiler exiting -End time: 21:06:29 on Dec 20,2021, Elapsed time: 0:00:00 -Errors: 1, Warnings: 0 - -child process exited abnormally -Failed during ModelSim compile - Error executing "C:/eda/MentorGraphics/modelsim/win32/vcom -work "Cursor_test" -nologo -2008 -f C:/Users/remi/AppData/Local/Temp/Files0" - -Compiled 2 file(s) in 1 compiler invocation(s) with 2 failure(s) - -Data preparation step completed, check transcript... ---------------------------------------------------------------------------------- - -Performing hierarchical generation through components... -Checking which design units need saving -Incrementally generating HDL... - -. - -Cursor/cursorCircuit -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cursorcircuit_entity.vhg - -Cursor/Position -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\position_entity.vhg - -Cursor/Encoder -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\encoder_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\encoder_encoder.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/Compteur -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\compteur_entity.vhg - -Cursor/compteurUpDownRsyncAll -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\compteurupdownrsyncall_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\compteurupdownrsyncall_entity.vhg'. -"compteurupdownrsyncall_entity.vhg",line 24: Error, 'integer' requires 0 index values. - -gates/bufferUlogic -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\bufferulogic_entity.vhg - -Cursor/cpt4bit -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt4bit_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt4bit_entity.vhg'. -"cpt4bit_entity.vhg",line 23: Error, 'integer' requires 0 index values. - -Cursor/cpt1bit -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt1bit_entity.vhg - -sequential/DFF -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Sequential\hdl\dff_entity.vhg - -gates/xor2 -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\xor2_entity.vhg - -gates/and2 -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\and2_entity.vhg - -gates/inverter -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\inverter_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt1bit_struct.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt4bit_struct.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt4bit_entity.vhg'. -"cpt4bit_entity.vhg",line 23: Error, 'integer' requires 0 index values. --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cpt4bit_struct.vhg'. -"cpt4bit_struct.vhg",line 19: Error, attempt to parse architecture body for 'cpt4bit' when a dependency has errors -or before parsing the entity declaration. -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\compteurupdownrsyncall_struct.vhg -Error: The block diagram interface is inconsistent with the interface on the parent block. -Use the Update Interface command. - -gates/or2 -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\or2_entity.vhg - -Cursor/convertissor_position -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\convertissor_position_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\convertissor_position_entity.vhg'. -"convertissor_position_entity.vhg",line 20: Error, 'integer' requires 0 index values. - -Cursor/Button -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\button_entity.vhg - -Cursor/button_position -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\button_position_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\button_position_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\button_button.vhg -Error: The block diagram interface is inconsistent with the interface on the parent block. -Use the Update Interface command. -Cannot have a slice/element when connected to a Port. - For input ports, please use the entire array with no slice/elements and rip a slice/element from this Signal. - For output ports, please use HDL text to assign the slice/element to an alternative output Signal. -The following port Signals have slices : - button4(3) - -Error: Signal 'button4' connects to Signal 'button', this would produce invalid HDL. -Error: Signal 'dbus0' connects to Signal 'button', this would produce invalid HDL. - -Cursor/Main -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\main_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\main_entity.vhg'. -"main_entity.vhg",line 25: Error, 'testlinenb' is not declared. - -Cursor/move -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\move_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\move_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\move_fsm.vhg'. -"move_fsm.vhg",line 102: Error, type error at 'power_cruse'. Needed type 'std_ulogic_vector'. -"move_fsm.vhg",line 106: Error, type error at 'power_deceleration'. Needed type 'std_ulogic_vector'. - -Cursor/set_position -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\set_position_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\set_position_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/process_cruse -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_cruse_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_cruse_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_cruse_fsm.vhg'. -"process_cruse_fsm.vhg",line 113: Error, cannot use a string literal in a scalar expression. -"process_cruse_fsm.vhg",line 117: Error, cannot use a string literal in a scalar expression. -"process_cruse_fsm.vhg",line 120: Error, cannot use a string literal in a scalar expression. -"process_cruse_fsm.vhg",line 124: Error, cannot use a string literal in a scalar expression. - -Cursor/process_deceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_deceleration_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_deceleration_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_deceleration_fsm.vhg'. -"process_deceleration_fsm.vhg",line 103: Error, cannot use a string literal in a scalar expression. -"process_deceleration_fsm.vhg",line 108: Error, cannot use a string literal in a scalar expression. - -Cursor/selector_acceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_acceleration_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_acceleration_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/selector_cruse -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_cruse_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_cruse_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/selector_deceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_deceleration_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\selector_deceleration_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/process_acceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\process_acceleration_entity.vhg - -Cursor/side_acceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\side_acceleration_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\side_acceleration_entity.vhg'. -"side_acceleration_entity.vhg",line 15: Error, 'std_ulogic' requires 0 index values. - -Cursor/enable_acceleration -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\enable_acceleration_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\enable_acceleration_entity.vhg'. -"enable_acceleration_entity.vhg",line 15: Error, 'std_ulogic' requires 0 index values. - -Cursor/accelerator -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\accelerator_entity.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\accelerator_entity.vhg'. -"accelerator_entity.vhg",line 15: Error, 'std_ulogic' requires 0 index values. - -Cursor/Driver -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\driver_entity.vhg - -Cursor/Counter_Controller -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\counter_controller_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\counter_controller_fsm.vhg -Warning: Ignoring implicit loopback set on State 'reset_counter' with true condition leaving it. -Warning: Ignoring implicit loopback set on State 'add_start' with true condition leaving it. -Warning: Ignoring implicit loopback set on State 'waiting' with true condition leaving it. -Warning: Ignoring implicit loopback set on State 'add_end' with true condition leaving it. -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -sequential/counterEnableResetSync -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Sequential\hdl\counterenableresetsync_entity.vhg - -gates/logic1 -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Libs\Gates\hdl\logic1_entity.vhg - -Cursor/Motor_side -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\motor_side_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\motor_side_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). - -Cursor/PWM -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\pwm_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\pwm_fsm.vhg -Warning: Default values for one or more Combinatorial signals have not been specified in the Signals Table. -(Hint: A default value is required for all combinatorial signals (and the internal signals -generated for registered outputs) to avoid implied latches). -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\driver_drivert.vhg --- Reading file 'C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\driver_drivert.vhg'. -"driver_drivert.vhg",line 132: Error, type error at 'countOut'. Needed type 'std_ulogic_vector'. -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor\hdl\cursorcircuit_studentversion.vhg -Error: The following component instances are out of date with respect to their symbol interface:- - I3, I2 -Use Update Interface command to resolve differences. -Error: Signal 'reset' connects to Signal 'rst', this would produce invalid HDL. -Error: Signal 'clock' connects to Signal 'clk', this would produce invalid HDL. - -Cursor_test/cursor_tester -Generating entity C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor_test\hdl\cursor_tester_entity.vhg -Generating architecture C:\Users\remi\OneDrive\Documents\Cours\05-HEVS\S1fb\electricity\1-EIN\project\cursor\HDLdesigner\Cursor\Prefs\..\Cursor_test\hdl\cursor_tb_struct.vhg - -Generation completed with errors. --------------------------------------------------------- - -