From 17cf35d8d1730a9f0c61ca5f2342cb0d587d6380 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9mi=20Heredero?= Date: Tue, 7 Dec 2021 09:35:50 +0100 Subject: [PATCH] ajout block bouton --- Cursor/hds/@button/button.bd | 1010 +++--- Cursor/hds/@button/interface | 54 +- Cursor/hds/_button_position._epf | 2 + Cursor/hds/button_position/fsm.sm | 4081 +++++++++++++++++++++++++ Cursor/hds/button_position/interface | 1689 ++++++++++ Prefs/hds_user/v2019.2/hds_user_prefs | 6 +- 6 files changed, 6430 insertions(+), 412 deletions(-) create mode 100644 Cursor/hds/_button_position._epf create mode 100644 Cursor/hds/button_position/fsm.sm create mode 100644 Cursor/hds/button_position/interface diff --git a/Cursor/hds/@button/button.bd b/Cursor/hds/@button/button.bd index 9c84de1..0c5242d 100644 --- a/Cursor/hds/@button/button.bd +++ b/Cursor/hds/@button/button.bd @@ -12,6 +12,17 @@ library "ieee" unitName "numeric_std" ) ] +instances [ +(Instance +name "U_0" +duLibraryName "Cursor" +duName "button_position" +elements [ +] +mwi 0 +uid 292,0 +) +] libraryRefs [ "ieee" ] @@ -120,7 +131,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "08:15:55" +value "09:34:59" ) (vvPair variable "group" @@ -192,7 +203,7 @@ value "button" ) (vvPair variable "time" -value "08:15:55" +value "09:34:59" ) (vvPair variable "unit" @@ -274,7 +285,7 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 6 +o 8 suid 1,0 ) declText (MLText @@ -282,8 +293,8 @@ uid 22,0 va (VaSet font "Courier New,8,0" ) -xt "22000,8000,39500,8800" -st "button : unsigned(3 DOWNTO 0)" +xt "22000,8000,38500,8800" +st "button : unsigned(3 DOWNTO 0)" ) ) *3 (PortIoIn @@ -299,16 +310,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "-2000,7625,-500,8375" +xt "-2000,10625,-500,11375" ) (Line uid 26,0 sl 0 ro 270 -xt "-500,8000,0,8000" +xt "-500,11000,0,11000" pts [ -"-500,8000" -"0,8000" +"-500,11000" +"0,11000" ] ) ] @@ -323,10 +334,10 @@ f (Text uid 28,0 va (VaSet ) -xt "-7600,7400,-3000,8600" +xt "-7600,10400,-3000,11600" st "button4" ju 2 -blo "-3000,8400" +blo "-3000,11400" tm "WireNameMgr" ) ) @@ -345,8 +356,8 @@ uid 36,0 va (VaSet font "Courier New,8,0" ) -xt "22000,2400,34500,3200" -st "button4 : std_uLogic" +xt "22000,2400,33500,3200" +st "button4 : std_uLogic" ) ) *5 (PortIoIn @@ -362,16 +373,16 @@ optionalChildren [ uid 39,0 sl 0 ro 270 -xt "-2000,11625,-500,12375" +xt "-2000,31625,-500,32375" ) (Line uid 40,0 sl 0 ro 270 -xt "-500,12000,0,12000" +xt "-500,32000,0,32000" pts [ -"-500,12000" -"0,12000" +"-500,32000" +"0,32000" ] ) ] @@ -386,21 +397,20 @@ f (Text uid 42,0 va (VaSet ) -xt "-6400,11400,-3000,12600" +xt "-6400,31400,-3000,32600" st "clock" ju 2 -blo "-3000,12400" +blo "-3000,32400" tm "WireNameMgr" ) ) ) *6 (Net uid 49,0 -lang 11 decl (Decl n "clock" t "std_ulogic" -o 7 +o 2 suid 3,0 ) declText (MLText @@ -408,8 +418,8 @@ uid 50,0 va (VaSet font "Courier New,8,0" ) -xt "22000,3200,34500,4000" -st "clock : std_ulogic" +xt "22000,3200,33500,4000" +st "clock : std_ulogic" ) ) *7 (PortIoIn @@ -425,16 +435,16 @@ optionalChildren [ uid 53,0 sl 0 ro 270 -xt "-2000,15625,-500,16375" +xt "-2000,20625,-500,21375" ) (Line uid 54,0 sl 0 ro 270 -xt "-500,16000,0,16000" +xt "-500,21000,0,21000" pts [ -"-500,16000" -"0,16000" +"-500,21000" +"0,21000" ] ) ] @@ -449,21 +459,20 @@ f (Text uid 56,0 va (VaSet ) -xt "-5600,15400,-3000,16600" +xt "-5600,20400,-3000,21600" st "go1" ju 2 -blo "-3000,16400" +blo "-3000,21400" tm "WireNameMgr" ) ) ) *8 (Net uid 63,0 -lang 11 decl (Decl n "go1" t "std_uLogic" -o 2 +o 3 suid 4,0 ) declText (MLText @@ -471,8 +480,8 @@ uid 64,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4000,34500,4800" -st "go1 : std_uLogic" +xt "22000,4000,33500,4800" +st "go1 : std_uLogic" ) ) *9 (PortIoIn @@ -488,16 +497,16 @@ optionalChildren [ uid 67,0 sl 0 ro 270 -xt "-2000,19625,-500,20375" +xt "-2000,22625,-500,23375" ) (Line uid 68,0 sl 0 ro 270 -xt "-500,20000,0,20000" +xt "-500,23000,0,23000" pts [ -"-500,20000" -"0,20000" +"-500,23000" +"0,23000" ] ) ] @@ -512,21 +521,20 @@ f (Text uid 70,0 va (VaSet ) -xt "-5600,19400,-3000,20600" +xt "-5600,22400,-3000,23600" st "go2" ju 2 -blo "-3000,20400" +blo "-3000,23400" tm "WireNameMgr" ) ) ) *10 (Net uid 77,0 -lang 11 decl (Decl n "go2" t "std_uLogic" -o 3 +o 4 suid 5,0 ) declText (MLText @@ -534,8 +542,8 @@ uid 78,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4800,34500,5600" -st "go2 : std_uLogic" +xt "22000,4800,33500,5600" +st "go2 : std_uLogic" ) ) *11 (PortIoIn @@ -551,16 +559,16 @@ optionalChildren [ uid 81,0 sl 0 ro 270 -xt "-2000,23625,-500,24375" +xt "-2000,18625,-500,19375" ) (Line uid 82,0 sl 0 ro 270 -xt "-500,24000,0,24000" +xt "-500,19000,0,19000" pts [ -"-500,24000" -"0,24000" +"-500,19000" +"0,19000" ] ) ] @@ -575,21 +583,20 @@ f (Text uid 84,0 va (VaSet ) -xt "-7100,23400,-3000,24600" +xt "-7100,18400,-3000,19600" st "restart" ju 2 -blo "-3000,24400" +blo "-3000,19400" tm "WireNameMgr" ) ) ) *12 (Net uid 91,0 -lang 11 decl (Decl n "restart" t "std_uLogic" -o 4 +o 6 suid 6,0 ) declText (MLText @@ -597,74 +604,11 @@ uid 92,0 va (VaSet font "Courier New,8,0" ) -xt "22000,5600,34500,6400" -st "restart : std_uLogic" +xt "22000,6400,33500,7200" +st "restart : std_uLogic" ) ) *13 (PortIoIn -uid 93,0 -shape (CompositeShape -uid 94,0 -va (VaSet -vasetType 1 -fg "0,0,32768" -) -optionalChildren [ -(Pentagon -uid 95,0 -sl 0 -ro 270 -xt "-2000,27625,-500,28375" -) -(Line -uid 96,0 -sl 0 -ro 270 -xt "-500,28000,0,28000" -pts [ -"-500,28000" -"0,28000" -] -) -] -) -stc 0 -sf 1 -tg (WTG -uid 97,0 -ps "PortIoTextPlaceStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 98,0 -va (VaSet -) -xt "-8500,27400,-3000,28600" -st "sig1reset" -ju 2 -blo "-3000,28400" -tm "WireNameMgr" -) -) -) -*14 (Net -uid 105,0 -lang 11 -decl (Decl -n "sig1reset" -t "std_ulogic" -o 8 -suid 7,0 -) -declText (MLText -uid 106,0 -va (VaSet -font "Courier New,8,0" -) -xt "22000,6400,34500,7200" -st "sig1reset : std_ulogic" -) -) -*15 (PortIoIn uid 107,0 shape (CompositeShape uid 108,0 @@ -677,16 +621,16 @@ optionalChildren [ uid 109,0 sl 0 ro 270 -xt "-2000,31625,-500,32375" +xt "-2000,26625,-500,27375" ) (Line uid 110,0 sl 0 ro 270 -xt "-500,32000,0,32000" +xt "-500,27000,0,27000" pts [ -"-500,32000" -"0,32000" +"-500,27000" +"0,27000" ] ) ] @@ -701,21 +645,21 @@ f (Text uid 112,0 va (VaSet ) -xt "-7000,31400,-3000,32600" +xt "-7000,26400,-3000,27600" st "unlock" ju 2 -blo "-3000,32400" +blo "-3000,27400" tm "WireNameMgr" ) ) ) -*16 (Net +*14 (Net uid 119,0 lang 11 decl (Decl n "unlock" t "std_ulogic" -o 5 +o 7 suid 8,0 ) declText (MLText @@ -723,14 +667,14 @@ uid 120,0 va (VaSet font "Courier New,8,0" ) -xt "22000,7200,34500,8000" -st "unlock : std_ulogic" +xt "22000,7200,33500,8000" +st "unlock : std_ulogic" ) ) -*17 (Grouping +*15 (Grouping uid 137,0 optionalChildren [ -*18 (CommentText +*16 (CommentText uid 139,0 shape (Rectangle uid 140,0 @@ -762,7 +706,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*19 (CommentText +*17 (CommentText uid 142,0 shape (Rectangle uid 143,0 @@ -794,7 +738,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*20 (CommentText +*18 (CommentText uid 145,0 shape (Rectangle uid 146,0 @@ -826,7 +770,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*21 (CommentText +*19 (CommentText uid 148,0 shape (Rectangle uid 149,0 @@ -858,7 +802,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*22 (CommentText +*20 (CommentText uid 151,0 shape (Rectangle uid 152,0 @@ -889,7 +833,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*23 (CommentText +*21 (CommentText uid 154,0 shape (Rectangle uid 155,0 @@ -921,7 +865,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*24 (CommentText +*22 (CommentText uid 157,0 shape (Rectangle uid 158,0 @@ -952,7 +896,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*25 (CommentText +*23 (CommentText uid 160,0 shape (Rectangle uid 161,0 @@ -984,7 +928,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*26 (CommentText +*24 (CommentText uid 163,0 shape (Rectangle uid 164,0 @@ -1016,7 +960,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*27 (CommentText +*25 (CommentText uid 166,0 shape (Rectangle uid 167,0 @@ -1061,8 +1005,199 @@ xt "23000,44000,64000,49000" ) oxt "14000,66000,55000,71000" ) -*28 (Wire +*26 (PortIoIn +uid 278,0 +shape (CompositeShape +uid 279,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 280,0 +sl 0 +ro 270 +xt "-2000,33625,-500,34375" +) +(Line +uid 281,0 +sl 0 +ro 270 +xt "-500,34000,0,34000" +pts [ +"-500,34000" +"0,34000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 282,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 283,0 +va (VaSet +) +xt "-6300,33500,-3000,34700" +st "reset" +ju 2 +blo "-3000,34500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 290,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 291,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,33500,6400" +st "reset : std_ulogic" +) +) +*28 (Blk +uid 292,0 +shape (Rectangle +uid 293,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,18000,32000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 294,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 295,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,29200,29300,30400" +st "Cursor" +blo "25600,30200" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 296,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,30400,34800,31600" +st "button_position" +blo "25600,31400" +tm "BlkNameMgr" +) +*31 (Text +uid 297,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,31600,28100,32800" +st "U_0" +blo "25600,32600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 298,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 299,0 +text (MLText +uid 300,0 +va (VaSet +font "Courier New,8,0" +) +xt "25600,39200,25600,39200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 301,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "24250,34250,25750,35750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*32 (Net +uid 312,0 +lang 11 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 9 +suid 10,0 +) +declText (MLText +uid 313,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10000,42000,10800" +st "SIGNAL dbus0 : unsigned(2 DOWNTO 0)" +) +) +*33 (Wire uid 15,0 +optionalChildren [ +*34 (Ripper +uid 310,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"51000,8000" +"50000,9000" +] +uid 311,0 +va (VaSet +vasetType 3 +) +xt "50000,8000,51000,9000" +) +) +*35 (BdJunction +uid 334,0 +ps "OnConnectorStrategy" +shape (Circle +uid 335,0 +va (VaSet +vasetType 1 +) +xt "49600,7600,50400,8400" +radius 400 +) +) +] shape (OrthoPolyLine uid 16,0 va (VaSet @@ -1099,22 +1234,26 @@ tm "WireNameMgr" ) on &2 ) -*29 (Wire +*36 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "0,8000,10000,8000" +xt "0,9000,50000,11000" pts [ -"0,8000" -"10000,8000" +"0,11000" +"50000,11000" +"50000,9000" ] ) start &3 +end &34 sat 32 -eat 16 +eat 32 +sl "(3)" +stc 0 st 0 sf 1 si 0 @@ -1127,30 +1266,31 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "2000,6800,6600,8000" -st "button4" -blo "2000,7800" +xt "2000,9800,8800,11000" +st "button4(3)" +blo "2000,10800" tm "WireNameMgr" ) ) on &4 ) -*30 (Wire +*37 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 va (VaSet vasetType 3 ) -xt "0,12000,10000,12000" +xt "0,32000,24000,32000" pts [ -"0,12000" -"10000,12000" +"0,32000" +"24000,32000" ] ) start &5 +end &28 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1163,30 +1303,31 @@ uid 48,0 va (VaSet isHidden 1 ) -xt "2000,10800,5400,12000" +xt "2000,30800,5400,32000" st "clock" -blo "2000,11800" +blo "2000,31800" tm "WireNameMgr" ) ) on &6 ) -*31 (Wire +*38 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 va (VaSet vasetType 3 ) -xt "0,16000,10000,16000" +xt "0,21000,24000,21000" pts [ -"0,16000" -"10000,16000" +"0,21000" +"24000,21000" ] ) start &7 +end &28 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1199,30 +1340,31 @@ uid 62,0 va (VaSet isHidden 1 ) -xt "2000,14800,4600,16000" +xt "2000,19800,4600,21000" st "go1" -blo "2000,15800" +blo "2000,20800" tm "WireNameMgr" ) ) on &8 ) -*32 (Wire +*39 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 va (VaSet vasetType 3 ) -xt "0,20000,10000,20000" +xt "0,23000,24000,23000" pts [ -"0,20000" -"10000,20000" +"0,23000" +"24000,23000" ] ) start &9 +end &28 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1235,30 +1377,31 @@ uid 76,0 va (VaSet isHidden 1 ) -xt "2000,18800,4600,20000" +xt "2000,21800,4600,23000" st "go2" -blo "2000,19800" +blo "2000,22800" tm "WireNameMgr" ) ) on &10 ) -*33 (Wire +*40 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 va (VaSet vasetType 3 ) -xt "0,24000,10000,24000" +xt "0,19000,24000,19000" pts [ -"0,24000" -"10000,24000" +"0,19000" +"24000,19000" ] ) start &11 +end &28 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1271,66 +1414,31 @@ uid 90,0 va (VaSet isHidden 1 ) -xt "2000,22800,6100,24000" +xt "2000,17800,6100,19000" st "restart" -blo "2000,23800" +blo "2000,18800" tm "WireNameMgr" ) ) on &12 ) -*34 (Wire -uid 99,0 -shape (OrthoPolyLine -uid 100,0 -va (VaSet -vasetType 3 -) -xt "0,28000,10000,28000" -pts [ -"0,28000" -"10000,28000" -] -) -start &13 -sat 32 -eat 16 -st 0 -sf 1 -si 0 -tg (WTG -uid 103,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 104,0 -va (VaSet -isHidden 1 -) -xt "2000,26800,7500,28000" -st "sig1reset" -blo "2000,27800" -tm "WireNameMgr" -) -) -on &14 -) -*35 (Wire +*41 (Wire uid 113,0 shape (OrthoPolyLine uid 114,0 va (VaSet vasetType 3 ) -xt "0,32000,10000,32000" +xt "0,27000,24000,27000" pts [ -"0,32000" -"10000,32000" +"0,27000" +"24000,27000" ] ) -start &15 +start &13 +end &28 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1343,13 +1451,143 @@ uid 118,0 va (VaSet isHidden 1 ) -xt "2000,30800,6000,32000" +xt "2000,25800,6000,27000" st "unlock" -blo "2000,31800" +blo "2000,26800" tm "WireNameMgr" ) ) -on &16 +on &14 +) +*42 (Wire +uid 284,0 +shape (OrthoPolyLine +uid 285,0 +va (VaSet +vasetType 3 +) +xt "0,34000,24000,34000" +pts [ +"0,34000" +"24000,34000" +] +) +start &26 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 289,0 +va (VaSet +isHidden 1 +) +xt "2000,32800,5300,34000" +st "reset" +blo "2000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*43 (Wire +uid 314,0 +optionalChildren [ +*44 (BdJunction +uid 332,0 +ps "OnConnectorStrategy" +shape (Circle +uid 333,0 +va (VaSet +vasetType 1 +) +xt "47600,3600,48400,4400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 315,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,4000,48000,23000" +pts [ +"32000,23000" +"38000,23000" +"38000,4000" +"48000,4000" +] +) +start &28 +sat 2 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 320,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 321,0 +va (VaSet +) +xt "34000,21800,42100,23000" +st "dbus0 : (2:0)" +blo "34000,22800" +tm "WireNameMgr" +) +) +on &32 +) +*45 (Wire +uid 324,0 +shape (OrthoPolyLine +uid 325,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,4000,50000,8000" +pts [ +"48000,4000" +"49000,7000" +"50000,8000" +] +) +start &44 +end &35 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +) +xt "45000,5800,48800,7000" +st "dbus0" +blo "45000,6800" +tm "WireNameMgr" +) +) +on &32 ) ] bg "65535,65535,65535" @@ -1363,11 +1601,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *36 (PackageList +packageList *46 (PackageList uid 169,0 stg "VerticalLayoutStrategy" textVec [ -*37 (Text +*47 (Text uid 170,0 va (VaSet font "Verdana,9,1" @@ -1376,7 +1614,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*38 (MLText +*48 (MLText uid 171,0 va (VaSet ) @@ -1392,7 +1630,7 @@ compDirBlock (MlTextGroup uid 172,0 stg "VerticalLayoutStrategy" textVec [ -*39 (Text +*49 (Text uid 173,0 va (VaSet isHidden 1 @@ -1402,7 +1640,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*40 (Text +*50 (Text uid 174,0 va (VaSet isHidden 1 @@ -1412,7 +1650,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*41 (MLText +*51 (MLText uid 175,0 va (VaSet isHidden 1 @@ -1422,7 +1660,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*42 (Text +*52 (Text uid 176,0 va (VaSet isHidden 1 @@ -1432,7 +1670,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*43 (MLText +*53 (MLText uid 177,0 va (VaSet isHidden 1 @@ -1440,7 +1678,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*44 (Text +*54 (Text uid 178,0 va (VaSet isHidden 1 @@ -1450,7 +1688,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*45 (MLText +*55 (MLText uid 179,0 va (VaSet isHidden 1 @@ -1461,11 +1699,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "76,48,1092,738" -viewArea "-8500,0,67148,51456" -cachedDiagramExtent "-8500,0,67200,49000" -pageBreakOrigin "0,0" -lastUid 236,0 +windowSize "-8,-8,1544,928" +viewArea "-13626,-1536,67720,48108" +cachedDiagramExtent "-7600,0,67200,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-8000,0" +lastUid 337,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1555,7 +1794,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*46 (Text +*56 (Text va (VaSet font "Verdana,9,1" ) @@ -1564,7 +1803,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*47 (Text +*57 (Text va (VaSet font "Verdana,9,1" ) @@ -1573,7 +1812,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*48 (Text +*58 (Text va (VaSet font "Verdana,9,1" ) @@ -1624,7 +1863,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*49 (Text +*59 (Text va (VaSet font "Verdana,9,1" ) @@ -1632,7 +1871,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*50 (Text +*60 (Text va (VaSet font "Verdana,9,1" ) @@ -1640,7 +1879,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*51 (Text +*61 (Text va (VaSet font "Verdana,9,1" ) @@ -1689,7 +1928,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*52 (Text +*62 (Text va (VaSet font "Verdana,9,1" ) @@ -1698,7 +1937,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*53 (Text +*63 (Text va (VaSet font "Verdana,9,1" ) @@ -1707,7 +1946,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*54 (Text +*64 (Text va (VaSet font "Verdana,9,1" ) @@ -1761,7 +2000,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*55 (Text +*65 (Text va (VaSet font "Verdana,9,1" ) @@ -1769,7 +2008,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*56 (Text +*66 (Text va (VaSet font "Verdana,9,1" ) @@ -1777,7 +2016,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*57 (Text +*67 (Text va (VaSet font "Verdana,9,1" ) @@ -1822,7 +2061,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*58 (Text +*68 (Text va (VaSet font "Verdana,9,1" ) @@ -1830,7 +2069,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*59 (Text +*69 (Text va (VaSet font "Verdana,9,1" ) @@ -1838,7 +2077,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*60 (Text +*70 (Text va (VaSet font "Verdana,9,1" ) @@ -1879,7 +2118,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*61 (Text +*71 (Text va (VaSet font "Verdana,9,1" ) @@ -1888,7 +2127,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*62 (Text +*72 (Text va (VaSet font "Verdana,9,1" ) @@ -2291,7 +2530,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*63 (Text +*73 (Text va (VaSet font "Verdana,9,1" ) @@ -2299,7 +2538,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*64 (MLText +*74 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2351,7 +2590,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*65 (Text +*75 (Text va (VaSet font "Verdana,9,1" ) @@ -2359,7 +2598,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*66 (MLText +*76 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2505,46 +2744,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 8,0 +suid 10,0 usingSuid 1 -emptyRow *67 (LEmptyRow +emptyRow *77 (LEmptyRow ) uid 182,0 optionalChildren [ -*68 (RefLabelRowHdr +*78 (RefLabelRowHdr ) -*69 (TitleRowHdr +*79 (TitleRowHdr ) -*70 (FilterRowHdr +*80 (FilterRowHdr ) -*71 (RefLabelColHdr +*81 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*72 (RowExpandColHdr +*82 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*73 (GroupColHdr +*83 (GroupColHdr tm "GroupColHdrMgr" ) -*74 (NameColHdr +*84 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*75 (ModeColHdr +*85 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*76 (TypeColHdr +*86 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*77 (BoundsColHdr +*87 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*78 (InitColHdr +*88 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*79 (EolColHdr +*89 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*80 (LeafLogPort +*90 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2556,55 +2795,52 @@ suid 2,0 ) uid 121,0 ) -*81 (LeafLogPort +*91 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "go1" t "std_uLogic" -o 2 +o 3 suid 4,0 ) ) uid 123,0 ) -*82 (LeafLogPort +*92 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "go2" t "std_uLogic" -o 3 +o 4 suid 5,0 ) ) uid 125,0 ) -*83 (LeafLogPort +*93 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "restart" t "std_uLogic" -o 4 +o 6 suid 6,0 ) ) uid 127,0 ) -*84 (LeafLogPort +*94 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 5 +o 7 suid 8,0 ) ) uid 129,0 ) -*85 (LeafLogPort +*95 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2612,35 +2848,47 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 6 +o 8 suid 1,0 ) ) uid 131,0 ) -*86 (LeafLogPort +*96 (LeafLogPort port (LogicalPort -lang 11 decl (Decl n "clock" t "std_ulogic" -o 7 +o 2 suid 3,0 ) ) uid 133,0 ) -*87 (LeafLogPort +*97 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 277,0 +) +*98 (LeafLogPort port (LogicalPort lang 11 +m 4 decl (Decl -n "sig1reset" -t "std_ulogic" -o 8 -suid 7,0 +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 9 +suid 10,0 ) ) -uid 135,0 +uid 336,0 ) ] ) @@ -2649,7 +2897,7 @@ displayShortBounds 1 editShortBounds 1 uid 195,0 optionalChildren [ -*88 (Sheet +*99 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2666,79 +2914,85 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *89 (MRCItem -litem &67 -pos 8 +emptyMRCItem *100 (MRCItem +litem &77 +pos 9 dimension 20 ) uid 197,0 optionalChildren [ -*90 (MRCItem -litem &68 +*101 (MRCItem +litem &78 pos 0 dimension 20 uid 198,0 ) -*91 (MRCItem -litem &69 +*102 (MRCItem +litem &79 pos 1 dimension 23 uid 199,0 ) -*92 (MRCItem -litem &70 +*103 (MRCItem +litem &80 pos 2 hidden 1 dimension 20 uid 200,0 ) -*93 (MRCItem -litem &80 -pos 0 +*104 (MRCItem +litem &90 +pos 1 dimension 20 uid 122,0 ) -*94 (MRCItem -litem &81 -pos 1 +*105 (MRCItem +litem &91 +pos 3 dimension 20 uid 124,0 ) -*95 (MRCItem -litem &82 -pos 2 +*106 (MRCItem +litem &92 +pos 4 dimension 20 uid 126,0 ) -*96 (MRCItem -litem &83 -pos 3 +*107 (MRCItem +litem &93 +pos 6 dimension 20 uid 128,0 ) -*97 (MRCItem -litem &84 -pos 4 +*108 (MRCItem +litem &94 +pos 7 dimension 20 uid 130,0 ) -*98 (MRCItem -litem &85 -pos 5 +*109 (MRCItem +litem &95 +pos 0 dimension 20 uid 132,0 ) -*99 (MRCItem -litem &86 -pos 6 +*110 (MRCItem +litem &96 +pos 2 dimension 20 uid 134,0 ) -*100 (MRCItem -litem &87 -pos 7 +*111 (MRCItem +litem &97 +pos 5 dimension 20 -uid 136,0 +uid 276,0 +) +*112 (MRCItem +litem &98 +pos 8 +dimension 20 +uid 337,0 ) ] ) @@ -2751,50 +3005,50 @@ textAngle 90 ) uid 201,0 optionalChildren [ -*101 (MRCItem -litem &71 +*113 (MRCItem +litem &81 pos 0 dimension 20 uid 202,0 ) -*102 (MRCItem -litem &73 +*114 (MRCItem +litem &83 pos 1 dimension 50 uid 203,0 ) -*103 (MRCItem -litem &74 +*115 (MRCItem +litem &84 pos 2 dimension 100 uid 204,0 ) -*104 (MRCItem -litem &75 +*116 (MRCItem +litem &85 pos 3 dimension 50 uid 205,0 ) -*105 (MRCItem -litem &76 +*117 (MRCItem +litem &86 pos 4 dimension 100 uid 206,0 ) -*106 (MRCItem -litem &77 +*118 (MRCItem +litem &87 pos 5 dimension 100 uid 207,0 ) -*107 (MRCItem -litem &78 +*119 (MRCItem +litem &88 pos 6 dimension 50 uid 208,0 ) -*108 (MRCItem -litem &79 +*120 (MRCItem +litem &89 pos 7 dimension 80 uid 209,0 @@ -2814,38 +3068,38 @@ uid 181,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *109 (LEmptyRow +emptyRow *121 (LEmptyRow ) uid 211,0 optionalChildren [ -*110 (RefLabelRowHdr +*122 (RefLabelRowHdr ) -*111 (TitleRowHdr +*123 (TitleRowHdr ) -*112 (FilterRowHdr +*124 (FilterRowHdr ) -*113 (RefLabelColHdr +*125 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*114 (RowExpandColHdr +*126 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*115 (GroupColHdr +*127 (GroupColHdr tm "GroupColHdrMgr" ) -*116 (NameColHdr +*128 (NameColHdr tm "GenericNameColHdrMgr" ) -*117 (TypeColHdr +*129 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*118 (InitColHdr +*130 (InitColHdr tm "GenericValueColHdrMgr" ) -*119 (PragmaColHdr +*131 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*120 (EolColHdr +*132 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2855,7 +3109,7 @@ displayShortBounds 1 editShortBounds 1 uid 223,0 optionalChildren [ -*121 (Sheet +*133 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2872,27 +3126,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *122 (MRCItem -litem &109 +emptyMRCItem *134 (MRCItem +litem &121 pos 0 dimension 20 ) uid 225,0 optionalChildren [ -*123 (MRCItem -litem &110 +*135 (MRCItem +litem &122 pos 0 dimension 20 uid 226,0 ) -*124 (MRCItem -litem &111 +*136 (MRCItem +litem &123 pos 1 dimension 23 uid 227,0 ) -*125 (MRCItem -litem &112 +*137 (MRCItem +litem &124 pos 2 hidden 1 dimension 20 @@ -2909,44 +3163,44 @@ textAngle 90 ) uid 229,0 optionalChildren [ -*126 (MRCItem -litem &113 +*138 (MRCItem +litem &125 pos 0 dimension 20 uid 230,0 ) -*127 (MRCItem -litem &115 +*139 (MRCItem +litem &127 pos 1 dimension 50 uid 231,0 ) -*128 (MRCItem -litem &116 +*140 (MRCItem +litem &128 pos 2 dimension 100 uid 232,0 ) -*129 (MRCItem -litem &117 +*141 (MRCItem +litem &129 pos 3 dimension 100 uid 233,0 ) -*130 (MRCItem -litem &118 +*142 (MRCItem +litem &130 pos 4 dimension 50 uid 234,0 ) -*131 (MRCItem -litem &119 +*143 (MRCItem +litem &131 pos 5 dimension 50 uid 235,0 ) -*132 (MRCItem -litem &120 +*144 (MRCItem +litem &132 pos 6 dimension 80 uid 236,0 diff --git a/Cursor/hds/@button/interface b/Cursor/hds/@button/interface index cc72e3b..23125b1 100644 --- a/Cursor/hds/@button/interface +++ b/Cursor/hds/@button/interface @@ -68,7 +68,7 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 25 +o 8 suid 9,0 ) ) @@ -101,7 +101,7 @@ port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 6 +o 3 suid 12,0 ) ) @@ -112,7 +112,7 @@ port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 7 +o 4 suid 13,0 ) ) @@ -123,7 +123,7 @@ port (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 8 +o 5 suid 14,0 ) ) @@ -134,7 +134,7 @@ port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 9 +o 6 suid 15,0 ) ) @@ -146,7 +146,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 7 suid 16,0 ) ) @@ -575,7 +575,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "08:20:27" +value "09:34:59" ) (vvPair variable "group" @@ -647,7 +647,7 @@ value "interface" ) (vvPair variable "time" -value "08:20:27" +value "09:34:59" ) (vvPair variable "unit" @@ -715,8 +715,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,8000,64500,8800" -st "button : OUT unsigned (3 DOWNTO 0) -" +st "button : OUT unsigned (3 DOWNTO 0)" ) thePort (LogicalPort lang 11 @@ -725,7 +724,7 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 25 +o 8 suid 9,0 ) ) @@ -763,8 +762,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "button4 : IN std_uLogic ; -" +st "button4 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -808,8 +806,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl @@ -853,14 +850,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "go1 : IN std_uLogic ; -" +st "go1 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 6 +o 3 suid 12,0 ) ) @@ -898,14 +894,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "go2 : IN std_uLogic ; -" +st "go2 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 7 +o 4 suid 13,0 ) ) @@ -943,14 +938,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 8 +o 5 suid 14,0 ) ) @@ -988,14 +982,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "restart : IN std_uLogic ; -" +st "restart : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 9 +o 6 suid 15,0 ) ) @@ -1034,15 +1027,14 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,60500,8000" -st "unlock : IN std_ulogic ; -" +st "unlock : IN std_ulogic ;" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 7 suid 16,0 ) ) @@ -1754,6 +1746,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 311,0 +lastUid 357,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/_button_position._epf b/Cursor/hds/_button_position._epf new file mode 100644 index 0000000..cfdf157 --- /dev/null +++ b/Cursor/hds/_button_position._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom button_position/fsm.sm diff --git a/Cursor/hds/button_position/fsm.sm b/Cursor/hds/button_position/fsm.sm new file mode 100644 index 0000000..f153803 --- /dev/null +++ b/Cursor/hds/button_position/fsm.sm @@ -0,0 +1,4081 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "button_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "09:34:56" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "button_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "09:34:56" +) +(vvPair +variable "unit" +value "button_position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "32480,2968,40832,11320" +radius 4176 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "33156,6444,40156,7844" +st "Unlocked" +ju 0 +blo "36656,7644" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "36406,7344,41506,8744" +st "wait 2" +blo "36406,8544" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36656,8244,36656,8244" +blo "36656,8244" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "32986,8044,43286,9444" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33086,7944,43186,7944" +pts [ +"33086,7944" +"43186,7944" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33086,7344,43186,7344" +pts [ +"33086,7344" +"43186,7344" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32636,7569,32986,7919" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32636,5169,32986,5519" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "33086,7744,33086,7744" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "33086,8144,43186,9344" +st "dBus0 <= \"000\";" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "34136,5344,34136,5344" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34556,8344,41256,9544" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,24850,20700" +st "Unlocked" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,24850,15700" +st "Unlocked" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,45400,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,46500,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 170,0 +shape (Circle +uid 171,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "64277,18485,71379,25587" +radius 3551 +) +name (Text +uid 172,0 +va (VaSet +font "Verdana,12,1" +) +xt "65728,21336,69928,22736" +st "Pos2" +ju 0 +blo "67828,22536" +tm "ONodeName" +) +wait (TextAssociate +uid 173,0 +ps "CenterOffsetStrategy" +text (Text +uid 174,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "67578,22236,72678,23636" +st "wait 2" +blo "67578,23436" +tm "SmWaitText" +) +) +encoding (Text +uid 175,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "67828,23136,67828,23136" +blo "67828,23136" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 178,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 179,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "64878,22504,75178,23904" +) +autoResize 1 +tline (Line +uid 180,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64978,22404,75078,22404" +pts [ +"64978,22404" +"75078,22404" +] +) +bline (Line +uid 181,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64978,21804,75078,21804" +pts [ +"64978,21804" +"75078,21804" +] +) +ttri (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64528,22029,64878,22379" +) +btri (Triangle +uid 183,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64528,19629,64878,19979" +) +entryActions (MLText +uid 184,0 +va (VaSet +) +xt "64978,22204,64978,22204" +tm "Actions" +) +inActions (MLText +uid 185,0 +va (VaSet +) +xt "64978,22604,75078,23804" +st "dBus0 <= \"001\";" +tm "Actions" +) +exitActions (MLText +uid 186,0 +va (VaSet +) +xt "66028,19804,66028,19804" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 176,0 +ps "CenterOffsetStrategy" +text (MLText +uid 177,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "65728,23236,72428,24436" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 187,0 +shape (Circle +uid 188,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45773,30725,52875,37827" +radius 3551 +) +name (Text +uid 189,0 +va (VaSet +font "Verdana,12,1" +) +xt "47224,33576,51424,34976" +st "Pos1" +ju 0 +blo "49324,34776" +tm "ONodeName" +) +wait (TextAssociate +uid 190,0 +ps "CenterOffsetStrategy" +text (Text +uid 191,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "49074,34476,54174,35876" +st "wait 2" +blo "49074,35676" +tm "SmWaitText" +) +) +encoding (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49324,35376,49324,35376" +blo "49324,35376" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 195,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 196,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "46590,34960,56890,36360" +) +autoResize 1 +tline (Line +uid 197,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46690,34860,56790,34860" +pts [ +"46690,34860" +"56790,34860" +] +) +bline (Line +uid 198,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46690,34260,56790,34260" +pts [ +"46690,34260" +"56790,34260" +] +) +ttri (Triangle +uid 199,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46240,34485,46590,34835" +) +btri (Triangle +uid 200,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46240,32085,46590,32435" +) +entryActions (MLText +uid 201,0 +va (VaSet +) +xt "46690,34660,46690,34660" +tm "Actions" +) +inActions (MLText +uid 202,0 +va (VaSet +) +xt "46690,35060,56790,36260" +st "dBus0 <= \"010\";" +tm "Actions" +) +exitActions (MLText +uid 203,0 +va (VaSet +) +xt "47740,32260,47740,32260" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 193,0 +ps "CenterOffsetStrategy" +text (MLText +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47224,35476,53924,36676" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 204,0 +shape (Circle +uid 205,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "18557,27341,25659,34443" +radius 3551 +) +name (Text +uid 206,0 +va (VaSet +font "Verdana,12,1" +) +xt "20108,30192,24108,31592" +st "start" +ju 0 +blo "22108,31392" +tm "ONodeName" +) +wait (TextAssociate +uid 207,0 +ps "CenterOffsetStrategy" +text (Text +uid 208,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "21858,31092,26958,32492" +st "wait 2" +blo "21858,32292" +tm "SmWaitText" +) +) +encoding (Text +uid 209,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "22108,31992,22108,31992" +blo "22108,31992" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 212,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 213,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "20022,31504,30322,32904" +) +autoResize 1 +tline (Line +uid 214,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20122,31404,30222,31404" +pts [ +"20122,31404" +"30222,31404" +] +) +bline (Line +uid 215,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20122,30804,30222,30804" +pts [ +"20122,30804" +"30222,30804" +] +) +ttri (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19672,31029,20022,31379" +) +btri (Triangle +uid 217,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19672,28629,20022,28979" +) +entryActions (MLText +uid 218,0 +va (VaSet +) +xt "20122,31204,20122,31204" +tm "Actions" +) +inActions (MLText +uid 219,0 +va (VaSet +) +xt "20122,31604,30222,32804" +st "dBus0 <= \"100\";" +tm "Actions" +) +exitActions (MLText +uid 220,0 +va (VaSet +) +xt "21172,28804,21172,28804" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 210,0 +ps "CenterOffsetStrategy" +text (MLText +uid 211,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20008,32092,26708,33292" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,15750,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,15250,20500" +pts [ +"8650,20500" +"15250,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,15250,20100" +st "reset = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20900,16250,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 281,0 +shape (Spline +uid 282,0 +va (VaSet +vasetType 3 +) +xt "40028,4682,65622,19254" +pts [ +"40028,4682" +"55895,6984" +"65622,19254" +] +arrow 1 +) +start &2 +end &19 +es 0 +cond "go2 = '1'" +tb (TransitionBlock +uid 283,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 284,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "52587,5548,58787,7748" +) +autoResize 1 +lineShape (Line +uid 285,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "55737,7648,55737,7648" +pts [ +"55737,7648" +"55737,7648" +] +) +condition (MLText +uid 286,0 +va (VaSet +) +xt "53087,6048,58287,7248" +st "go2 = '1'" +tm "Condition" +) +actions (MLText +uid 287,0 +va (VaSet +) +xt "55687,7648,55687,7648" +tm "Actions" +) +) +tp (TransitionPriority +uid 288,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 289,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42153,3805,44279,5931" +radius 1063 +) +pr (Text +uid 290,0 +va (VaSet +) +xt "42516,4268,43916,5468" +st "1" +ju 0 +blo "43216,5268" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 291,0 +shape (Spline +uid 292,0 +va (VaSet +vasetType 3 +) +xt "39881,9796,64330,21426" +pts [ +"64330,21426" +"51251,19143" +"39881,9796" +] +arrow 1 +) +start &19 +end &2 +ss 0 +es 0 +cond "unlock = '1'" +tb (TransitionBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "50823,18643,59123,20843" +) +autoResize 1 +lineShape (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "53973,20743,53973,20743" +pts [ +"53973,20743" +"53973,20743" +] +) +condition (MLText +uid 296,0 +va (VaSet +) +xt "51323,19143,58623,20343" +st "unlock = '1'" +tm "Condition" +) +actions (MLText +uid 297,0 +va (VaSet +) +xt "54973,20743,54973,20743" +tm "Actions" +) +) +tp (TransitionPriority +uid 298,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 299,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "60469,20085,62595,22211" +radius 1063 +) +pr (Text +uid 300,0 +va (VaSet +isHidden 1 +) +xt "60832,20548,62232,21748" +st "1" +ju 0 +blo "61532,21548" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 301,0 +shape (Spline +uid 302,0 +va (VaSet +vasetType 3 +) +xt "39125,10511,49452,30734" +pts [ +"39125,10511" +"48584,23411" +"49083,30734" +] +arrow 1 +) +start &2 +end &20 +ss 0 +es 0 +cond "go1 = '1'" +tb (TransitionBlock +uid 303,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 304,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "46212,23343,52412,25543" +) +autoResize 1 +lineShape (Line +uid 305,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "49362,25443,49362,25443" +pts [ +"49362,25443" +"49362,25443" +] +) +condition (MLText +uid 306,0 +va (VaSet +) +xt "46712,23843,51912,25043" +st "go1 = '1'" +tm "Condition" +) +actions (MLText +uid 307,0 +va (VaSet +) +xt "49312,25443,49312,25443" +tm "Actions" +) +) +tp (TransitionPriority +uid 308,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 309,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39501,11304,41627,13430" +radius 1063 +) +pr (Text +uid 310,0 +va (VaSet +) +xt "39864,11767,41264,12967" +st "2" +ju 0 +blo "40564,12767" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 311,0 +shape (Spline +uid 312,0 +va (VaSet +vasetType 3 +) +xt "37588,11214,47190,31438" +pts [ +"47190,31438" +"40043,21933" +"37588,11214" +] +arrow 1 +) +start &20 +end &2 +ss 0 +es 0 +cond "unlock = '1'" +tb (TransitionBlock +uid 313,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "35871,18481,44171,20681" +) +autoResize 1 +lineShape (Line +uid 315,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "39021,20581,39021,20581" +pts [ +"39021,20581" +"39021,20581" +] +) +condition (MLText +uid 316,0 +va (VaSet +) +xt "36371,18981,43671,20181" +st "unlock = '1'" +tm "Condition" +) +actions (MLText +uid 317,0 +va (VaSet +) +xt "40021,20581,40021,20581" +tm "Actions" +) +) +tp (TransitionPriority +uid 318,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 319,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "44659,28610,46785,30736" +radius 1063 +) +pr (Text +uid 320,0 +va (VaSet +isHidden 1 +) +xt "45022,29073,46422,30273" +st "1" +ju 0 +blo "45722,30073" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 321,0 +shape (Spline +uid 322,0 +va (VaSet +vasetType 3 +) +xt "24650,11137,35434,28413" +pts [ +"35434,11137" +"32486,20769" +"24650,28413" +] +arrow 1 +) +start &2 +end &21 +ss 0 +es 0 +cond "restart = '1'" +tb (TransitionBlock +uid 323,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 324,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "28602,21565,37002,23765" +) +autoResize 1 +lineShape (Line +uid 325,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "31752,23665,31752,23665" +pts [ +"31752,23665" +"31752,23665" +] +) +condition (MLText +uid 326,0 +va (VaSet +) +xt "29102,22065,36502,23265" +st "restart = '1'" +tm "Condition" +) +actions (MLText +uid 327,0 +va (VaSet +) +xt "32802,23665,32802,23665" +tm "Actions" +) +) +tp (TransitionPriority +uid 328,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 329,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33896,12130,36022,14256" +radius 1063 +) +pr (Text +uid 330,0 +va (VaSet +) +xt "34259,12593,35659,13793" +st "3" +ju 0 +blo "34959,13593" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 331,0 +shape (Spline +uid 332,0 +va (VaSet +vasetType 3 +) +xt "22966,10054,33662,27447" +pts [ +"22966,27447" +"25268,18215" +"33662,10054" +] +arrow 1 +) +start &21 +end &2 +ss 0 +es 0 +cond "unlock = '1'" +tb (TransitionBlock +uid 333,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 334,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "24120,15123,32420,17323" +) +autoResize 1 +lineShape (Line +uid 335,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "27270,17223,27270,17223" +pts [ +"27270,17223" +"27270,17223" +] +) +condition (MLText +uid 336,0 +va (VaSet +) +xt "24620,15623,31920,16823" +st "unlock = '1'" +tm "Condition" +) +actions (MLText +uid 337,0 +va (VaSet +) +xt "28270,17223,28270,17223" +tm "Actions" +) +) +tp (TransitionPriority +uid 338,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 339,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "22229,24278,24355,26404" +radius 1063 +) +pr (Text +uid 340,0 +va (VaSet +isHidden 1 +) +xt "22592,24741,23992,25941" +st "1" +ju 0 +blo "23292,25741" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *30 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*32 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*34 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*35 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*36 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*37 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*38 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*39 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1544,928" +viewArea "-7556,-9140,88204,49036" +cachedDiagramExtent "-650,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *40 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*42 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*43 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*44 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*45 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*46 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *47 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*49 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*50 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*51 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*52 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *53 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*55 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*56 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*57 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*58 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *59 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *60 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71400,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +dbus0 OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *61 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +] +name "csm" +) +] +lastUid 340,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *62 (LEmptyRow +) +optionalChildren [ +*63 (RefLabelRowHdr +) +*64 (TitleRowHdr +) +*65 (FilterRowHdr +) +*66 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*67 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*68 (GroupColHdr +tm "GroupColHdrMgr" +) +*69 (NameColHdr +tm "SmNameColHdrMgr" +) +*70 (ModeColHdr +tm "SmModeColHdrMgr" +) +*71 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*72 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*73 (InitColHdr +tm "SmInitColHdrMgr" +) +*74 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*75 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*76 (ColumnHdr +tm "SmExprColHdrMgr" +) +*77 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*78 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*79 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*80 (EolColHdr +tm "SmEolColHdrMgr" +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +uid 156,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*82 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 2 +) +) +uid 158,0 +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 3 +) +) +uid 160,0 +) +*84 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +uid 162,0 +cat 8 +expr "reset = '0'" +) +*85 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 5 +) +) +uid 164,0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 6 +) +) +uid 166,0 +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 7 +) +) +uid 168,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *89 (MRCItem +litem &62 +pos 7 +dimension 20 +) +optionalChildren [ +*90 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*91 (MRCItem +litem &64 +pos 1 +dimension 23 +) +*92 (MRCItem +litem &65 +pos 2 +hidden 1 +dimension 20 +) +*93 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 157,0 +) +*94 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 159,0 +) +*95 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 161,0 +) +*96 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 163,0 +) +*97 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 165,0 +) +*98 (MRCItem +litem &86 +pos 5 +dimension 20 +uid 167,0 +) +*99 (MRCItem +litem &87 +pos 6 +dimension 20 +uid 169,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*100 (MRCItem +litem &66 +pos 0 +dimension 20 +) +*101 (MRCItem +litem &68 +pos 1 +dimension 50 +) +*102 (MRCItem +litem &69 +pos 2 +dimension 70 +) +*103 (MRCItem +litem &70 +pos 3 +dimension 50 +) +*104 (MRCItem +litem &71 +pos 4 +dimension 80 +) +*105 (MRCItem +litem &72 +pos 5 +dimension 80 +) +*106 (MRCItem +litem &73 +pos 6 +dimension 40 +) +*107 (MRCItem +litem &74 +pos 7 +dimension 100 +) +*108 (MRCItem +litem &75 +pos 8 +dimension 60 +) +*109 (MRCItem +litem &76 +pos 9 +dimension 130 +) +*110 (MRCItem +litem &77 +pos 10 +dimension 56 +) +*111 (MRCItem +litem &78 +pos 11 +dimension 50 +) +*112 (MRCItem +litem &79 +pos 12 +dimension 50 +) +*113 (MRCItem +litem &80 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *114 (LEmptyRow +) +optionalChildren [ +*115 (RefLabelRowHdr +) +*116 (TitleRowHdr +) +*117 (FilterRowHdr +) +*118 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*119 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*120 (GroupColHdr +tm "GroupColHdrMgr" +) +*121 (NameColHdr +tm "GenericNameColHdrMgr" +) +*122 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*123 (InitColHdr +tm "GenericValueColHdrMgr" +) +*124 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*125 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*126 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *127 (MRCItem +litem &114 +pos 0 +dimension 20 +) +optionalChildren [ +*128 (MRCItem +litem &115 +pos 0 +dimension 20 +) +*129 (MRCItem +litem &116 +pos 1 +dimension 23 +) +*130 (MRCItem +litem &117 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +) +*132 (MRCItem +litem &120 +pos 1 +dimension 50 +) +*133 (MRCItem +litem &121 +pos 2 +dimension 100 +) +*134 (MRCItem +litem &122 +pos 3 +dimension 100 +) +*135 (MRCItem +litem &123 +pos 4 +dimension 50 +) +*136 (MRCItem +litem &124 +pos 5 +dimension 50 +) +*137 (MRCItem +litem &125 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/button_position/interface b/Cursor/hds/button_position/interface new file mode 100644 index 0000000..43e5990 --- /dev/null +++ b/Cursor/hds/button_position/interface @@ -0,0 +1,1689 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 7 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 3 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 6 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 6 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 4 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 5 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "button_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "09:34:57" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "button_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\button_position\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:34:57" +) +(vvPair +variable "unit" +value "button_position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,19625,15000,20375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,19300,19800,20700" +st "clock" +blo "16000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60500,3200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "17300,10300,22000,11700" +st "dbus0" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,64500,8000" +st "dbus0 : OUT unsigned (2 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,19200,9700" +st "go1" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "go1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19200,11700" +st "go2" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "go2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 3 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,21625,15000,22375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,21300,20100,22700" +st "reset" +blo "16000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,21100,7700" +st "restart" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "restart : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,20800,15700" +st "unlock" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "unlock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,24000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "14400,13800,18100,15000" +st "Cursor" +blo "14400,14800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "14400,15000,23600,16200" +st "button_position" +blo "14400,16000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,11000,1000,11800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Button" +viewName "button.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 294,0 +activeModelName "Symbol:CDM" +) diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index ff94754..51abd3b 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -6208,13 +6208,13 @@ yPos 0 width 1552 height 936 activeSidePanelTab 2 -activeLibraryTab 3 +activeLibraryTab 1 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 569 componentBrowserYpos 300 -componentBrowserWidth 300 -componentBrowserHeight 340 +componentBrowserWidth 483 +componentBrowserHeight 491 componentBrowserLibraryNames [ "Board" "gates"