From 7afa4f84dc4b0eddf996cb26f53489a5f2b42abe Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9mi=20Heredero?= Date: Tue, 7 Dec 2021 08:21:56 +0100 Subject: [PATCH] first design --- Cursor/hds/@button/button.bd | 2969 +++++++++++++++ Cursor/hds/@button/interface | 1759 +++++++++ Cursor/hds/@driver/drivert.bd | 2852 +++++++++++++++ Cursor/hds/@driver/interface | 1705 +++++++++ Cursor/hds/@main/interface | 2030 +++++++++++ Cursor/hds/@main/main.bd | 3449 ++++++++++++++++++ Cursor/hds/@position/interface | 1697 +++++++++ Cursor/hds/@position/position.bd | 2923 +++++++++++++++ Cursor/hds/_button._epf | 2 + Cursor/hds/_driver._epf | 2 + Cursor/hds/_main._epf | 2 + Cursor/hds/_position._epf | 2 + Cursor/hds/cursor@circuit/student@version.bd | 1921 +++++++--- Prefs/hds_user/v2019.2/hds_user_prefs | 2 +- 14 files changed, 20876 insertions(+), 439 deletions(-) create mode 100644 Cursor/hds/@button/button.bd create mode 100644 Cursor/hds/@button/interface create mode 100644 Cursor/hds/@driver/drivert.bd create mode 100644 Cursor/hds/@driver/interface create mode 100644 Cursor/hds/@main/interface create mode 100644 Cursor/hds/@main/main.bd create mode 100644 Cursor/hds/@position/interface create mode 100644 Cursor/hds/@position/position.bd create mode 100644 Cursor/hds/_button._epf create mode 100644 Cursor/hds/_driver._epf create mode 100644 Cursor/hds/_main._epf create mode 100644 Cursor/hds/_position._epf diff --git a/Cursor/hds/@button/button.bd b/Cursor/hds/@button/button.bd new file mode 100644 index 0000000..9c84de1 --- /dev/null +++ b/Cursor/hds/@button/button.bd @@ -0,0 +1,2969 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "button" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Button" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "button.bd" +) +(vvPair +variable "f_logical" +value "button.bd" +) +(vvPair +variable "f_noext" +value "button" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:15:55" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Button" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button\\button.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "button" +) +(vvPair +variable "this_file_logical" +value "button" +) +(vvPair +variable "time" +value "08:15:55" +) +(vvPair +variable "unit" +value "Button" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "button" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 180,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "63000,7400,66900,8600" +st "button" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 6 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,39500,8800" +st "button : unsigned(3 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-7600,7400,-3000,8600" +st "button4" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,34500,3200" +st "button4 : std_uLogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-6400,11400,-3000,12600" +st "clock" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34500,4000" +st "clock : std_ulogic" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-5600,15400,-3000,16600" +st "go1" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "go1" +t "std_uLogic" +o 2 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34500,4800" +st "go1 : std_uLogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-5600,19400,-3000,20600" +st "go2" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "go2" +t "std_uLogic" +o 3 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34500,5600" +st "go2 : std_uLogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-7100,23400,-3000,24600" +st "restart" +ju 2 +blo "-3000,24400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "restart" +t "std_uLogic" +o 4 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34500,6400" +st "restart : std_uLogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,27625,-500,28375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,28000,0,28000" +pts [ +"-500,28000" +"0,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-8500,27400,-3000,28600" +st "sig1reset" +ju 2 +blo "-3000,28400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "sig1reset" +t "std_ulogic" +o 8 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34500,7200" +st "sig1reset : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,31625,-500,32375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,32000,0,32000" +pts [ +"-500,32000" +"0,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-7000,31400,-3000,32600" +st "unlock" +ju 2 +blo "-3000,32400" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 5 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,34500,8000" +st "unlock : std_ulogic" +) +) +*17 (Grouping +uid 137,0 +optionalChildren [ +*18 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 153,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 159,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*26 (CommentText +uid 163,0 +shape (Rectangle +uid 164,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 165,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 166,0 +shape (Rectangle +uid 167,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 168,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,35300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 138,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*28 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,8000,60000,8000" +pts [ +"60000,8000" +"50000,8000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,67200,8000" +st "button : (3:0)" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*29 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,6600,8000" +st "button4" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &4 +) +*30 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &5 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,5400,12000" +st "clock" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &6 +) +*31 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,4600,16000" +st "go1" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &8 +) +*32 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &9 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,4600,20000" +st "go2" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &10 +) +*33 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,6100,24000" +st "restart" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*34 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,28000,10000,28000" +pts [ +"0,28000" +"10000,28000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,26800,7500,28000" +st "sig1reset" +blo "2000,27800" +tm "WireNameMgr" +) +) +on &14 +) +*35 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,32000,10000,32000" +pts [ +"0,32000" +"10000,32000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,30800,6000,32000" +st "unlock" +blo "2000,31800" +tm "WireNameMgr" +) +) +on &16 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *36 (PackageList +uid 169,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 170,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*38 (MLText +uid 171,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 172,0 +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*40 (Text +uid 174,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*41 (MLText +uid 175,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 176,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*43 (MLText +uid 177,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*44 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*45 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "76,48,1092,738" +viewArea "-8500,0,67148,51456" +cachedDiagramExtent "-8500,0,67200,49000" +pageBreakOrigin "0,0" +lastUid 236,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*64 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*66 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8800,29500,10000" +st "Diagram Signals:" +blo "20000,9800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *67 (LEmptyRow +) +uid 182,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*75 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*76 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*77 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*78 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*79 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 121,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "go1" +t "std_uLogic" +o 2 +suid 4,0 +) +) +uid 123,0 +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "go2" +t "std_uLogic" +o 3 +suid 5,0 +) +) +uid 125,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "restart" +t "std_uLogic" +o 4 +suid 6,0 +) +) +uid 127,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 129,0 +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 6 +suid 1,0 +) +) +uid 131,0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 3,0 +) +) +uid 133,0 +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sig1reset" +t "std_ulogic" +o 8 +suid 7,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 195,0 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *89 (MRCItem +litem &67 +pos 8 +dimension 20 +) +uid 197,0 +optionalChildren [ +*90 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 198,0 +) +*91 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 199,0 +) +*92 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 200,0 +) +*93 (MRCItem +litem &80 +pos 0 +dimension 20 +uid 122,0 +) +*94 (MRCItem +litem &81 +pos 1 +dimension 20 +uid 124,0 +) +*95 (MRCItem +litem &82 +pos 2 +dimension 20 +uid 126,0 +) +*96 (MRCItem +litem &83 +pos 3 +dimension 20 +uid 128,0 +) +*97 (MRCItem +litem &84 +pos 4 +dimension 20 +uid 130,0 +) +*98 (MRCItem +litem &85 +pos 5 +dimension 20 +uid 132,0 +) +*99 (MRCItem +litem &86 +pos 6 +dimension 20 +uid 134,0 +) +*100 (MRCItem +litem &87 +pos 7 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 201,0 +optionalChildren [ +*101 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 202,0 +) +*102 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 203,0 +) +*103 (MRCItem +litem &74 +pos 2 +dimension 100 +uid 204,0 +) +*104 (MRCItem +litem &75 +pos 3 +dimension 50 +uid 205,0 +) +*105 (MRCItem +litem &76 +pos 4 +dimension 100 +uid 206,0 +) +*106 (MRCItem +litem &77 +pos 5 +dimension 100 +uid 207,0 +) +*107 (MRCItem +litem &78 +pos 6 +dimension 50 +uid 208,0 +) +*108 (MRCItem +litem &79 +pos 7 +dimension 80 +uid 209,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 196,0 +vaOverrides [ +] +) +] +) +uid 181,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *109 (LEmptyRow +) +uid 211,0 +optionalChildren [ +*110 (RefLabelRowHdr +) +*111 (TitleRowHdr +) +*112 (FilterRowHdr +) +*113 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*114 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*115 (GroupColHdr +tm "GroupColHdrMgr" +) +*116 (NameColHdr +tm "GenericNameColHdrMgr" +) +*117 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*118 (InitColHdr +tm "GenericValueColHdrMgr" +) +*119 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*120 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 223,0 +optionalChildren [ +*121 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *122 (MRCItem +litem &109 +pos 0 +dimension 20 +) +uid 225,0 +optionalChildren [ +*123 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 226,0 +) +*124 (MRCItem +litem &111 +pos 1 +dimension 23 +uid 227,0 +) +*125 (MRCItem +litem &112 +pos 2 +hidden 1 +dimension 20 +uid 228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 230,0 +) +*127 (MRCItem +litem &115 +pos 1 +dimension 50 +uid 231,0 +) +*128 (MRCItem +litem &116 +pos 2 +dimension 100 +uid 232,0 +) +*129 (MRCItem +litem &117 +pos 3 +dimension 100 +uid 233,0 +) +*130 (MRCItem +litem &118 +pos 4 +dimension 50 +uid 234,0 +) +*131 (MRCItem +litem &119 +pos 5 +dimension 50 +uid 235,0 +) +*132 (MRCItem +litem &120 +pos 6 +dimension 80 +uid 236,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 224,0 +vaOverrides [ +] +) +] +) +uid 210,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/@button/interface b/Cursor/hds/@button/interface new file mode 100644 index 0000000..cc72e3b --- /dev/null +++ b/Cursor/hds/@button/interface @@ -0,0 +1,1759 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 25 +suid 9,0 +) +) +uid 296,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 10,0 +) +) +uid 298,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +) +uid 300,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 12,0 +) +) +uid 302,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 13,0 +) +) +uid 304,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 14,0 +) +) +uid 306,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 15,0 +) +) +uid 308,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 26 +suid 16,0 +) +) +uid 310,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 297,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 299,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 301,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 303,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 305,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 307,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 309,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*57 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*58 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*59 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*60 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*61 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*62 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*63 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*64 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*65 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*66 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Button" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:20:27" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Button" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:20:27" +) +(vvPair +variable "unit" +value "Button" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 256,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 257,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 258,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 259,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,8300,22000,9700" +st "button" +ju 2 +blo "22000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 260,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,64500,8800" +st "button : OUT unsigned (3 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 25 +suid 9,0 +) +) +) +*69 (CptPort +uid 261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 262,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 263,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 264,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,21800,14700" +st "button4" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 265,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60500,3200" +st "button4 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 10,0 +) +) +) +*70 (CptPort +uid 266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 267,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,16625,15000,17375" +) +tg (CPTG +uid 268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 269,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,16300,19800,17700" +st "clock" +blo "16000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 270,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +) +) +*71 (CptPort +uid 271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 272,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 274,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,19200,10700" +st "go1" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 275,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "go1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 12,0 +) +) +) +*72 (CptPort +uid 276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 277,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 278,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 279,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,19200,12700" +st "go2" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 280,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "go2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 13,0 +) +) +) +*73 (CptPort +uid 281,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 282,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 283,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 284,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,17300,20100,18700" +st "reset" +blo "16000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 285,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 14,0 +) +) +) +*74 (CptPort +uid 286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 289,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21100,8700" +st "restart" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 290,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "restart : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 15,0 +) +) +) +*75 (CptPort +uid 291,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 292,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,15625,23750,16375" +) +tg (CPTG +uid 293,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 294,0 +va (VaSet +font "Verdana,12,0" +) +xt "17200,15300,22000,16700" +st "unlock" +ju 2 +blo "22000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 295,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,60500,8000" +st "unlock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 26 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,19000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16950,11300,20650,12500" +st "Cursor" +blo "16950,12300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16950,12500,21050,13700" +st "Button" +blo "16950,13500" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,8500,1000,9300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8800,45200,10000" +st "User:" +blo "42000,9800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,44000,10000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 311,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@driver/drivert.bd b/Cursor/hds/@driver/drivert.bd new file mode 100644 index 0000000..93219b7 --- /dev/null +++ b/Cursor/hds/@driver/drivert.bd @@ -0,0 +1,2852 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "drivert" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Driver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "drivert.bd" +) +(vvPair +variable "f_logical" +value "drivert.bd" +) +(vvPair +variable "f_noext" +value "drivert" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:21:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Driver" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\drivert.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\drivert.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "drivert" +) +(vvPair +variable "this_file_logical" +value "drivert" +) +(vvPair +variable "time" +value "08:21:16" +) +(vvPair +variable "unit" +value "Driver" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "drivert" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 164,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,7400,-3000,8600" +st "clock" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,33500,4800" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "63000,7400,68100,8600" +st "motorOn" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,33500,6400" +st "motorOn : std_uLogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-6700,11400,-3000,12600" +st "Power" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,38500,3200" +st "Power : unsigned(7 DOWNTO 0)" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-6300,15400,-3000,16600" +st "reset" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,33500,5600" +st "reset : std_ulogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "60500,11625,62000,12375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "60000,12000,60500,12000" +pts [ +"60000,12000" +"60500,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "63000,11400,66500,12600" +st "side1" +blo "63000,12400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,33500,7200" +st "side1 : std_uLogic" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "63000,15400,66500,16600" +st "side2" +blo "63000,16400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,33500,8000" +st "side2 : std_uLogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-6500,19400,-3000,20600" +st "SideL" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,33500,4000" +st "SideL : std_ulogic" +) +) +*15 (Grouping +uid 121,0 +optionalChildren [ +*16 (CommentText +uid 123,0 +shape (Rectangle +uid 124,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,48000,46000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 125,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,48000,38400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,50000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,44000,49200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,46000,46000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,46000,39200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,29000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,46000,27300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,45000,66000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,45200,55600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,44000,66000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "50200,44000,51800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,44000,46000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 143,0 +va (VaSet +fg "32768,0,0" +) +xt "30350,44400,40650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,29000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,47000,27300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,29000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,48000,27900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,47000,46000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,47000,37600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 122,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "25000,44000,66000,49000" +) +oxt "14000,66000,55000,71000" +) +*26 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,5400,8000" +st "clock" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*27 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "50000,8000,60000,8000" +pts [ +"60000,8000" +"50000,8000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,64100,8000" +st "motorOn" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &4 +) +*28 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,10000,12000" +st "Power : (7:0)" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &6 +) +*29 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,5300,16000" +st "reset" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &8 +) +*30 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "50000,12000,60000,12000" +pts [ +"60000,12000" +"50000,12000" +] +) +start &9 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "59000,10800,62500,12000" +st "side1" +blo "59000,11800" +tm "WireNameMgr" +) +) +on &10 +) +*31 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "50000,16000,60000,16000" +pts [ +"60000,16000" +"50000,16000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "59000,14800,62500,16000" +st "side2" +blo "59000,15800" +tm "WireNameMgr" +) +) +on &12 +) +*32 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,5500,20000" +st "SideL" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 153,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 154,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*35 (MLText +uid 155,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 156,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 157,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*37 (Text +uid 158,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*38 (MLText +uid 159,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 160,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*40 (MLText +uid 161,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 162,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*42 (MLText +uid 163,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "228,144,1244,834" +viewArea "-6700,0,68160,50920" +cachedDiagramExtent "-6700,0,68100,49000" +pageBreakOrigin "0,0" +lastUid 220,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*61 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*63 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8000,29500,9200" +st "Diagram Signals:" +blo "20000,9000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 107,0 +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 109,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 111,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 113,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 115,0 +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +uid 117,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +uid 119,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 179,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &64 +pos 7 +dimension 20 +) +uid 181,0 +optionalChildren [ +*86 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 182,0 +) +*87 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 183,0 +) +*88 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*89 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 108,0 +) +*90 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 110,0 +) +*91 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 112,0 +) +*92 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 114,0 +) +*93 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 116,0 +) +*94 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 118,0 +) +*95 (MRCItem +litem &83 +pos 6 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 185,0 +optionalChildren [ +*96 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 186,0 +) +*97 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 187,0 +) +*98 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 188,0 +) +*99 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 189,0 +) +*100 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 190,0 +) +*101 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 191,0 +) +*102 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 192,0 +) +*103 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 193,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 180,0 +vaOverrides [ +] +) +] +) +uid 165,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *104 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*105 (RefLabelRowHdr +) +*106 (TitleRowHdr +) +*107 (FilterRowHdr +) +*108 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*109 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*110 (GroupColHdr +tm "GroupColHdrMgr" +) +*111 (NameColHdr +tm "GenericNameColHdrMgr" +) +*112 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*113 (InitColHdr +tm "GenericValueColHdrMgr" +) +*114 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*115 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*116 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *117 (MRCItem +litem &104 +pos 0 +dimension 20 +) +uid 209,0 +optionalChildren [ +*118 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 210,0 +) +*119 (MRCItem +litem &106 +pos 1 +dimension 23 +uid 211,0 +) +*120 (MRCItem +litem &107 +pos 2 +hidden 1 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*121 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 214,0 +) +*122 (MRCItem +litem &110 +pos 1 +dimension 50 +uid 215,0 +) +*123 (MRCItem +litem &111 +pos 2 +dimension 100 +uid 216,0 +) +*124 (MRCItem +litem &112 +pos 3 +dimension 100 +uid 217,0 +) +*125 (MRCItem +litem &113 +pos 4 +dimension 50 +uid 218,0 +) +*126 (MRCItem +litem &114 +pos 5 +dimension 50 +uid 219,0 +) +*127 (MRCItem +litem &115 +pos 6 +dimension 80 +uid 220,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 208,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/@driver/interface b/Cursor/hds/@driver/interface new file mode 100644 index 0000000..bced64d --- /dev/null +++ b/Cursor/hds/@driver/interface @@ -0,0 +1,1705 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 2 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 3 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Driver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:21:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Driver" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:21:16" +) +(vvPair +variable "unit" +value "Driver" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,16625,15000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,16300,19800,17700" +st "clock" +blo "16000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "15700,6300,22000,7700" +st "motorOn" +ju 2 +blo "22000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,20800,9700" +st "Power" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,17300,20100,18700" +st "reset" +blo "16000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,14625,23750,15375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,14300,22000,15700" +st "side1" +ju 2 +blo "22000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,12625,23750,13375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,12300,22000,13700" +st "side2" +ju 2 +blo "22000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "side2 : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,20200,13700" +st "SideL" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "SideL : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,20000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,11800,20850,13000" +st "Cursor" +blo "17150,12800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,13000,20750,14200" +st "Driver" +blo "17150,14000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,9000,1000,9800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 225,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@main/interface b/Cursor/hds/@main/interface new file mode 100644 index 0000000..e268086 --- /dev/null +++ b/Cursor/hds/@main/interface @@ -0,0 +1,2030 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 115,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 4,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 5,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 121,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 7,0 +) +) +uid 123,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 8,0 +) +) +uid 125,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 10 +suid 9,0 +) +) +uid 127,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 10,0 +) +) +uid 129,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 11,0 +) +) +uid 131,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 12,0 +) +) +uid 133,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 112,0 +) +*32 (MRCItem +litem &15 +pos 8 +dimension 20 +uid 114,0 +) +*33 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 116,0 +) +*34 (MRCItem +litem &17 +pos 5 +dimension 20 +uid 118,0 +) +*35 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 120,0 +) +*36 (MRCItem +litem &19 +pos 9 +dimension 20 +uid 122,0 +) +*37 (MRCItem +litem &20 +pos 2 +dimension 20 +uid 124,0 +) +*38 (MRCItem +litem &21 +pos 3 +dimension 20 +uid 126,0 +) +*39 (MRCItem +litem &22 +pos 7 +dimension 20 +uid 128,0 +) +*40 (MRCItem +litem &23 +pos 4 +dimension 20 +uid 130,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 132,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *64 (MRCItem +litem &51 +pos 3 +dimension 20 +) +uid 180,0 +optionalChildren [ +*65 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 181,0 +) +*66 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 182,0 +) +*67 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*68 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 185,0 +) +*69 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 186,0 +) +*70 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 187,0 +) +*71 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 188,0 +) +*72 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 189,0 +) +*73 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 190,0 +) +*74 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Main" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:20:45" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Main" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:20:45" +) +(vvPair +variable "unit" +value "Main" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,38625,15000,39375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,38300,21000,39700" +st "button" +blo "16000,39500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,66500,4000" +st "button : IN unsigned (3 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 1,0 +) +) +) +*77 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,62625,15000,63375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,62300,19800,63700" +st "clock" +blo "16000,63500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*78 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21800,8700" +st "Position" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,67000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 3,0 +) +) +) +*79 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,59625,45750,60375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,59300,44000,60700" +st "Power" +ju 2 +blo "44000,60500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,66500,8800" +st "Power : OUT unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*80 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,19200,15700" +st "RaZ" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,61000,9600" +st "RaZ : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 5,0 +) +) +) +*81 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,63625,15000,64375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,63300,20100,64700" +st "reset" +blo "16000,64500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*82 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,53625,15000,54375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,53300,21900,54700" +st "sensor1" +blo "16000,54500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "sensor1 : IN std_uLogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 7,0 +) +) +) +*83 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,51625,15000,52375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,51300,21900,52700" +st "sensor2" +blo "16000,52500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61000,7200" +st "sensor2 : IN std_uLogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 8,0 +) +) +) +*84 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,63625,45750,64375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,12,0" +) +xt "39800,63300,44000,64700" +st "SideL" +ju 2 +blo "44000,64500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,61000,10400" +st "SideL : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 10 +suid 9,0 +) +) +) +*85 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,57625,15000,58375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,57300,22700,58700" +st "testMode" +blo "16000,58500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61000,8000" +st "testMode : IN std_uLogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 10,0 +) +) +) +*86 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,7625,45750,8375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,12,0" +) +xt "38400,7300,44000,8700" +st "testOut" +ju 2 +blo "44000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,73500,11200" +st "testOut : OUT std_uLogic_vector (1 TO testLineNb) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 11,0 +) +) +) +*87 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,45625,15000,46375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,45300,20800,46700" +st "unlock" +blo "16000,46500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11200,60000,12000" +st "unlock : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,45000,69000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "28150,36300,31850,37500" +st "Cursor" +blo "28150,37300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "28150,37500,31050,38700" +st "Main" +blo "28150,38500" +) +) +gi *88 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "500,33500,12000,34300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*89 (Grouping +uid 16,0 +optionalChildren [ +*90 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *100 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*102 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *103 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *104 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,12000,45200,13200" +st "User:" +blo "42000,13000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13200,44000,13200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 260,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@main/main.bd b/Cursor/hds/@main/main.bd new file mode 100644 index 0000000..100402d --- /dev/null +++ b/Cursor/hds/@main/main.bd @@ -0,0 +1,3449 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\main.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\main.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "main" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Main" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "main.bd" +) +(vvPair +variable "f_logical" +value "main.bd" +) +(vvPair +variable "f_noext" +value "main" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:20:45" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Main" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\main.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\main.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "main" +) +(vvPair +variable "this_file_logical" +value "main" +) +(vvPair +variable "time" +value "08:20:45" +) +(vvPair +variable "unit" +value "Main" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "main" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 244,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6900,7400,-3000,8600" +st "button" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,39000,4000" +st "button : unsigned(3 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-6400,11400,-3000,12600" +st "clock" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 9 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34000,4800" +st "clock : std_ulogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-7800,15400,-3000,16600" +st "Position" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,39500,3200" +st "Position : unsigned(15 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "63000,7400,66700,8600" +st "Power" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,39000,8800" +st "Power : unsigned(7 DOWNTO 0)" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "60500,11625,62000,12375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "60000,12000,60500,12000" +pts [ +"60000,12000" +"60500,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "63000,11400,65700,12600" +st "RaZ" +blo "63000,12400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 7 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8800,34000,9600" +st "RaZ : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-6300,19400,-3000,20600" +st "reset" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34000,5600" +st "reset : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-7800,23400,-3000,24600" +st "sensor1" +ju 2 +blo "-3000,24400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "sensor1" +t "std_uLogic" +o 3 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34000,6400" +st "sensor1 : std_uLogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,27625,-500,28375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,28000,0,28000" +pts [ +"-500,28000" +"0,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-7800,27400,-3000,28600" +st "sensor2" +ju 2 +blo "-3000,28400" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +lang 11 +decl (Decl +n "sensor2" +t "std_uLogic" +o 4 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34000,7200" +st "sensor2 : std_uLogic" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "63000,15400,66500,16600" +st "SideL" +blo "63000,16400" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 133,0 +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 8 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9600,34000,10400" +st "SideL : std_ulogic" +) +) +*19 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "-2000,31625,-500,32375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "-500,32000,0,32000" +pts [ +"-500,32000" +"0,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +) +xt "-8400,31400,-3000,32600" +st "testMode" +ju 2 +blo "-3000,32400" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 147,0 +lang 11 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,34000,8000" +st "testMode : std_uLogic" +) +) +*21 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "60500,19625,62000,20375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "60000,20000,60500,20000" +pts [ +"60000,20000" +"60500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +) +xt "63000,19400,67600,20600" +st "testOut" +blo "63000,20400" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 161,0 +lang 11 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10400,46500,11200" +st "testOut : std_uLogic_vector(1 TO testLineNb)" +) +) +*23 (PortIoOut +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "60500,23625,62000,24375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "60000,24000,60500,24000" +pts [ +"60000,24000" +"60500,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +) +xt "63000,23400,67000,24600" +st "unlock" +blo "63000,24400" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 175,0 +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,11200,34000,12000" +st "unlock : std_ulogic" +) +) +*25 (Grouping +uid 201,0 +optionalChildren [ +*26 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*28 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 220,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 223,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 230,0 +shape (Rectangle +uid 231,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 232,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,34200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 202,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*36 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,10200,8000" +st "button : (3:0)" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*37 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,5400,12000" +st "clock" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &4 +) +*38 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,11800,16000" +st "Position : (15:0)" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &6 +) +*39 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,8000,60000,8000" +pts [ +"60000,8000" +"50000,8000" +] +) +start &7 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,67000,8000" +st "Power : (7:0)" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &8 +) +*40 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "50000,12000,60000,12000" +pts [ +"60000,12000" +"50000,12000" +] +) +start &9 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "59000,10800,61700,12000" +st "RaZ" +blo "59000,11800" +tm "WireNameMgr" +) +) +on &10 +) +*41 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,5300,20000" +st "reset" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &12 +) +*42 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,6800,24000" +st "sensor1" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &14 +) +*43 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,28000,10000,28000" +pts [ +"0,28000" +"10000,28000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,26800,6800,28000" +st "sensor2" +blo "2000,27800" +tm "WireNameMgr" +) +) +on &16 +) +*44 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "50000,16000,60000,16000" +pts [ +"60000,16000" +"50000,16000" +] +) +start &17 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +) +xt "59000,14800,62500,16000" +st "SideL" +blo "59000,15800" +tm "WireNameMgr" +) +) +on &18 +) +*45 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "0,32000,10000,32000" +pts [ +"0,32000" +"10000,32000" +] +) +start &19 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "2000,30800,7400,32000" +st "testMode" +blo "2000,31800" +tm "WireNameMgr" +) +) +on &20 +) +*46 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,20000,60000,20000" +pts [ +"60000,20000" +"50000,20000" +] +) +start &21 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +) +xt "59000,18800,73400,20000" +st "testOut : (1:testLineNb)" +blo "59000,19800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "50000,24000,60000,24000" +pts [ +"60000,24000" +"50000,24000" +] +) +start &23 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +isHidden 1 +) +xt "59000,22800,63000,24000" +st "unlock" +blo "59000,23800" +tm "WireNameMgr" +) +) +on &24 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *48 (PackageList +uid 233,0 +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 234,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*50 (MLText +uid 235,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 236,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 237,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*52 (Text +uid 238,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*53 (MLText +uid 239,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*54 (Text +uid 240,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*55 (MLText +uid 241,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 242,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*57 (MLText +uid 243,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "152,96,1168,786" +viewArea "-8400,0,73683,55833" +cachedDiagramExtent "-8400,0,73400,49000" +pageBreakOrigin "0,0" +lastUid 300,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*65 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*66 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*76 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*78 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,12000,29500,13200" +st "Diagram Signals:" +blo "20000,13000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *79 (LEmptyRow +) +uid 246,0 +optionalChildren [ +*80 (RefLabelRowHdr +) +*81 (TitleRowHdr +) +*82 (FilterRowHdr +) +*83 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*84 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*85 (GroupColHdr +tm "GroupColHdrMgr" +) +*86 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*87 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*88 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*89 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*90 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*91 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 177,0 +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 1,0 +) +) +uid 179,0 +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor1" +t "std_uLogic" +o 3 +suid 7,0 +) +) +uid 181,0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor2" +t "std_uLogic" +o 4 +suid 8,0 +) +) +uid 183,0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 10,0 +) +) +uid 185,0 +) +*97 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 187,0 +) +*98 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 189,0 +) +*99 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 8 +suid 9,0 +) +) +uid 191,0 +) +*100 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 9 +suid 2,0 +) +) +uid 193,0 +) +*101 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 6,0 +) +) +uid 195,0 +) +*102 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 11,0 +) +) +uid 197,0 +) +*103 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 12,0 +) +) +uid 199,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 259,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &79 +pos 12 +dimension 20 +) +uid 261,0 +optionalChildren [ +*106 (MRCItem +litem &80 +pos 0 +dimension 20 +uid 262,0 +) +*107 (MRCItem +litem &81 +pos 1 +dimension 23 +uid 263,0 +) +*108 (MRCItem +litem &82 +pos 2 +hidden 1 +dimension 20 +uid 264,0 +) +*109 (MRCItem +litem &92 +pos 0 +dimension 20 +uid 178,0 +) +*110 (MRCItem +litem &93 +pos 1 +dimension 20 +uid 180,0 +) +*111 (MRCItem +litem &94 +pos 2 +dimension 20 +uid 182,0 +) +*112 (MRCItem +litem &95 +pos 3 +dimension 20 +uid 184,0 +) +*113 (MRCItem +litem &96 +pos 4 +dimension 20 +uid 186,0 +) +*114 (MRCItem +litem &97 +pos 5 +dimension 20 +uid 188,0 +) +*115 (MRCItem +litem &98 +pos 6 +dimension 20 +uid 190,0 +) +*116 (MRCItem +litem &99 +pos 7 +dimension 20 +uid 192,0 +) +*117 (MRCItem +litem &100 +pos 8 +dimension 20 +uid 194,0 +) +*118 (MRCItem +litem &101 +pos 9 +dimension 20 +uid 196,0 +) +*119 (MRCItem +litem &102 +pos 10 +dimension 20 +uid 198,0 +) +*120 (MRCItem +litem &103 +pos 11 +dimension 20 +uid 200,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 265,0 +optionalChildren [ +*121 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 266,0 +) +*122 (MRCItem +litem &85 +pos 1 +dimension 50 +uid 267,0 +) +*123 (MRCItem +litem &86 +pos 2 +dimension 100 +uid 268,0 +) +*124 (MRCItem +litem &87 +pos 3 +dimension 50 +uid 269,0 +) +*125 (MRCItem +litem &88 +pos 4 +dimension 100 +uid 270,0 +) +*126 (MRCItem +litem &89 +pos 5 +dimension 100 +uid 271,0 +) +*127 (MRCItem +litem &90 +pos 6 +dimension 50 +uid 272,0 +) +*128 (MRCItem +litem &91 +pos 7 +dimension 80 +uid 273,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 260,0 +vaOverrides [ +] +) +] +) +uid 245,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *129 (LEmptyRow +) +uid 275,0 +optionalChildren [ +*130 (RefLabelRowHdr +) +*131 (TitleRowHdr +) +*132 (FilterRowHdr +) +*133 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*134 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*135 (GroupColHdr +tm "GroupColHdrMgr" +) +*136 (NameColHdr +tm "GenericNameColHdrMgr" +) +*137 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*138 (InitColHdr +tm "GenericValueColHdrMgr" +) +*139 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*140 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 287,0 +optionalChildren [ +*141 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *142 (MRCItem +litem &129 +pos 0 +dimension 20 +) +uid 289,0 +optionalChildren [ +*143 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 290,0 +) +*144 (MRCItem +litem &131 +pos 1 +dimension 23 +uid 291,0 +) +*145 (MRCItem +litem &132 +pos 2 +hidden 1 +dimension 20 +uid 292,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 293,0 +optionalChildren [ +*146 (MRCItem +litem &133 +pos 0 +dimension 20 +uid 294,0 +) +*147 (MRCItem +litem &135 +pos 1 +dimension 50 +uid 295,0 +) +*148 (MRCItem +litem &136 +pos 2 +dimension 100 +uid 296,0 +) +*149 (MRCItem +litem &137 +pos 3 +dimension 100 +uid 297,0 +) +*150 (MRCItem +litem &138 +pos 4 +dimension 50 +uid 298,0 +) +*151 (MRCItem +litem &139 +pos 5 +dimension 50 +uid 299,0 +) +*152 (MRCItem +litem &140 +pos 6 +dimension 80 +uid 300,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 288,0 +vaOverrides [ +] +) +] +) +uid 274,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position/interface new file mode 100644 index 0000000..3e3cf29 --- /dev/null +++ b/Cursor/hds/@position/interface @@ -0,0 +1,1697 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +) +uid 342,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +uid 344,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +uid 346,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +uid 348,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 17,0 +) +) +uid 350,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 18,0 +) +) +uid 352,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 19,0 +) +) +uid 354,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 103,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 343,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 345,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 347,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 349,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 351,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 353,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 355,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 133,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:21:37" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:21:37" +) +(vvPair +variable "unit" +value "Position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,19800,14700" +st "clock" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +) +) +*67 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,22700,8700" +st "encoderA" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "encoderA : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +) +*68 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,22700,10700" +st "encoderB" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "encoderB : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +) +*69 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,22400,12700" +st "encoderI" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "encoderI : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +) +*70 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,7625,23750,8375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +font "Verdana,12,0" +) +xt "16200,7300,22000,8700" +st "Position" +ju 2 +blo "22000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,66000,8000" +st "Position : OUT unsigned (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 17,0 +) +) +) +*71 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,14625,23750,15375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +font "Verdana,12,0" +) +xt "18800,14300,22000,15700" +st "RaZ" +ju 2 +blo "22000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61000,3200" +st "RaZ : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 18,0 +) +) +) +*72 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,20100,15700" +st "reset" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61000,7200" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16650,9800,20350,11000" +st "Cursor" +blo "16650,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16650,11000,21350,12200" +st "Position" +blo "16650,12000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 378,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@position/position.bd b/Cursor/hds/@position/position.bd new file mode 100644 index 0000000..ca4f250 --- /dev/null +++ b/Cursor/hds/@position/position.bd @@ -0,0 +1,2923 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "position" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "position.bd" +) +(vvPair +variable "f_logical" +value "position.bd" +) +(vvPair +variable "f_noext" +value "position" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:21:37" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\position.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "position" +) +(vvPair +variable "this_file_logical" +value "position" +) +(vvPair +variable "time" +value "08:21:37" +) +(vvPair +variable "unit" +value "Position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "position" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 132,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,7400,-3000,8600" +st "clock" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34500,4000" +st "clock : std_ulogic +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-8500,11400,-3000,12600" +st "encoderA" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34500,4800" +st "encoderA : std_uLogic +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-8400,15400,-3000,16600" +st "encoderB" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34500,5600" +st "encoderB : std_uLogic +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-8200,19400,-3000,20600" +st "encoderI" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34500,6400" +st "encoderI : std_uLogic +" +) +) +*9 (Net +uid 77,0 +lang 11 +decl (Decl +n "sig1reset" +t "std_ulogic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9200,38000,10000" +st "SIGNAL sig1reset : std_ulogic +" +) +) +*10 (Grouping +uid 89,0 +optionalChildren [ +*11 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 109,0 +shape (Rectangle +uid 110,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 111,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,36500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*21 (PortIoOut +uid 193,0 +shape (CompositeShape +uid 194,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 195,0 +sl 0 +ro 270 +xt "58500,1625,60000,2375" +) +(Line +uid 196,0 +sl 0 +ro 270 +xt "58000,2000,58500,2000" +pts [ +"58000,2000" +"58500,2000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 197,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 198,0 +va (VaSet +) +xt "61000,1500,65800,2700" +st "Position" +blo "61000,2500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 205,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 6,0 +) +declText (MLText +uid 206,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,40000,8000" +st "Position : unsigned(15 DOWNTO 0) +" +) +) +*23 (PortIoIn +uid 207,0 +shape (CompositeShape +uid 208,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 209,0 +sl 0 +ro 270 +xt "-2000,25625,-500,26375" +) +(Line +uid 210,0 +sl 0 +ro 270 +xt "-500,26000,0,26000" +pts [ +"-500,26000" +"0,26000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 211,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 212,0 +va (VaSet +) +xt "-5700,25500,-3000,26700" +st "RaZ" +ju 2 +blo "-3000,26500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 219,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 7,0 +) +declText (MLText +uid 220,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,34500,3200" +st "RaZ : std_ulogic +" +) +) +*25 (PortIoIn +uid 223,0 +shape (CompositeShape +uid 224,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 225,0 +sl 0 +ro 270 +xt "-2000,27625,-500,28375" +) +(Line +uid 226,0 +sl 0 +ro 270 +xt "-500,28000,0,28000" +pts [ +"-500,28000" +"0,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 227,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 228,0 +va (VaSet +) +xt "-6300,27500,-3000,28700" +st "reset" +ju 2 +blo "-3000,28500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 235,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 236,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34500,7200" +st "reset : std_ulogic +" +) +) +*27 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,5400,8000" +st "clock" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*28 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,7500,12000" +st "encoderA" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &4 +) +*29 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &5 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,7400,16000" +st "encoderB" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &6 +) +*30 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,7200,20000" +st "encoderI" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &8 +) +*31 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +sat 16 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,7500,24000" +st "sig1reset" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &9 +) +*32 (Wire +uid 199,0 +shape (OrthoPolyLine +uid 200,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,2000,58000,2000" +pts [ +"48000,2000" +"58000,2000" +] +) +end &21 +sat 16 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 203,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 204,0 +va (VaSet +isHidden 1 +) +xt "50000,800,59800,2000" +st "Position : (15:0)" +blo "50000,1800" +tm "WireNameMgr" +) +) +on &22 +) +*33 (Wire +uid 213,0 +shape (OrthoPolyLine +uid 214,0 +va (VaSet +vasetType 3 +) +xt "0,26000,10000,26000" +pts [ +"0,26000" +"10000,26000" +] +) +start &23 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +isHidden 1 +) +xt "2000,24800,4700,26000" +st "RaZ" +blo "2000,25800" +tm "WireNameMgr" +) +) +on &24 +) +*34 (Wire +uid 229,0 +shape (OrthoPolyLine +uid 230,0 +va (VaSet +vasetType 3 +) +xt "0,28000,10000,28000" +pts [ +"0,28000" +"10000,28000" +] +) +start &25 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 233,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 234,0 +va (VaSet +isHidden 1 +) +xt "2000,26800,5300,28000" +st "reset" +blo "2000,27800" +tm "WireNameMgr" +) +) +on &26 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *35 (PackageList +uid 121,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 122,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*37 (MLText +uid 123,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 124,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 125,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 126,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*40 (MLText +uid 127,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*42 (MLText +uid 129,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 130,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*44 (MLText +uid 131,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1016,690" +viewArea "-8500,0,63996,49312" +cachedDiagramExtent "-8500,0,65800,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-9000,0" +lastUid 236,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*63 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*65 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8000,29500,9200" +st "Diagram Signals:" +blo "20000,9000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 134,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 79,0 +) +*80 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +) +uid 81,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 83,0 +) +*82 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 85,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "sig1reset" +t "std_ulogic" +o 8 +suid 5,0 +) +) +uid 87,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 6,0 +) +) +uid 190,0 +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 192,0 +) +*86 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 8,0 +) +) +uid 222,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 147,0 +optionalChildren [ +*87 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *88 (MRCItem +litem &66 +pos 8 +dimension 20 +) +uid 149,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 150,0 +) +*90 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 151,0 +) +*91 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*92 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 80,0 +) +*93 (MRCItem +litem &80 +pos 2 +dimension 20 +uid 82,0 +) +*94 (MRCItem +litem &81 +pos 3 +dimension 20 +uid 84,0 +) +*95 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 86,0 +) +*96 (MRCItem +litem &83 +pos 7 +dimension 20 +uid 88,0 +) +*97 (MRCItem +litem &84 +pos 4 +dimension 20 +uid 189,0 +) +*98 (MRCItem +litem &85 +pos 5 +dimension 20 +uid 191,0 +) +*99 (MRCItem +litem &86 +pos 6 +dimension 20 +uid 221,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 153,0 +optionalChildren [ +*100 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 154,0 +) +*101 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 155,0 +) +*102 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 156,0 +) +*103 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 157,0 +) +*104 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 158,0 +) +*105 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 159,0 +) +*106 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 160,0 +) +*107 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 161,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 148,0 +vaOverrides [ +] +) +] +) +uid 133,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *108 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*109 (RefLabelRowHdr +) +*110 (TitleRowHdr +) +*111 (FilterRowHdr +) +*112 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*113 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*114 (GroupColHdr +tm "GroupColHdrMgr" +) +*115 (NameColHdr +tm "GenericNameColHdrMgr" +) +*116 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*117 (InitColHdr +tm "GenericValueColHdrMgr" +) +*118 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*119 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 175,0 +optionalChildren [ +*120 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *121 (MRCItem +litem &108 +pos 0 +dimension 20 +) +uid 177,0 +optionalChildren [ +*122 (MRCItem +litem &109 +pos 0 +dimension 20 +uid 178,0 +) +*123 (MRCItem +litem &110 +pos 1 +dimension 23 +uid 179,0 +) +*124 (MRCItem +litem &111 +pos 2 +hidden 1 +dimension 20 +uid 180,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 181,0 +optionalChildren [ +*125 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 182,0 +) +*126 (MRCItem +litem &114 +pos 1 +dimension 50 +uid 183,0 +) +*127 (MRCItem +litem &115 +pos 2 +dimension 100 +uid 184,0 +) +*128 (MRCItem +litem &116 +pos 3 +dimension 100 +uid 185,0 +) +*129 (MRCItem +litem &117 +pos 4 +dimension 50 +uid 186,0 +) +*130 (MRCItem +litem &118 +pos 5 +dimension 50 +uid 187,0 +) +*131 (MRCItem +litem &119 +pos 6 +dimension 80 +uid 188,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 162,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/_button._epf b/Cursor/hds/_button._epf new file mode 100644 index 0000000..1a11b26 --- /dev/null +++ b/Cursor/hds/_button._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom button +DEFAULT_FILE atom @button/button.bd diff --git a/Cursor/hds/_driver._epf b/Cursor/hds/_driver._epf new file mode 100644 index 0000000..609c98d --- /dev/null +++ b/Cursor/hds/_driver._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom drivert +DEFAULT_FILE atom @driver/drivert.bd diff --git a/Cursor/hds/_main._epf b/Cursor/hds/_main._epf new file mode 100644 index 0000000..8699f67 --- /dev/null +++ b/Cursor/hds/_main._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom main +DEFAULT_FILE atom @main/main.bd diff --git a/Cursor/hds/_position._epf b/Cursor/hds/_position._epf new file mode 100644 index 0000000..1711cd7 --- /dev/null +++ b/Cursor/hds/_position._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom position +DEFAULT_FILE atom @position/position.bd diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index 6102de8..1acfbf4 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -12,12 +12,50 @@ library "ieee" unitName "numeric_std" ) ] +instances [ +(Instance +name "I0" +duLibraryName "Cursor" +duName "Position" +elements [ +] +mwi 0 +uid 11433,0 +) +(Instance +name "I1" +duLibraryName "Cursor" +duName "Button" +elements [ +] +mwi 0 +uid 11442,0 +) +(Instance +name "I2" +duLibraryName "Cursor" +duName "Main" +elements [ +] +mwi 0 +uid 11451,0 +) +(Instance +name "I3" +duLibraryName "Cursor" +duName "Driver" +elements [ +] +mwi 0 +uid 11460,0 +) +] libraryRefs [ "ieee" ] ) -version "31.1" -appVersion "2018.1 (Build 12)" +version "32.1" +appVersion "2019.2 (Build 5)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander @@ -28,23 +66,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -64,27 +102,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "13.06.2019" +value "07.12.2021" ) (vvPair variable "day" -value "Thu" +value "mar." ) (vvPair variable "day_long" -value "Thursday" +value "mardi" ) (vvPair variable "dd" -value "13" +value "07" ) (vvPair variable "designName" @@ -112,11 +150,11 @@ value "student@version" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "remi" ) (vvPair variable "graphical_source_date" -value "13.06.2019" +value "07.12.2021" ) (vvPair variable "graphical_source_group" @@ -124,11 +162,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:07:15" +value "08:21:21" ) (vvPair variable "group" @@ -136,7 +174,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "MARVIN" ) (vvPair variable "language" @@ -168,7 +206,7 @@ value "D:\\Users\\Syslo\\Chronometer\\Synthesis" ) (vvPair variable "mm" -value "06" +value "12" ) (vvPair variable "module_name" @@ -176,19 +214,19 @@ value "cursorCircuit" ) (vvPair variable "month" -value "Jun" +value "déc." ) (vvPair variable "month_long" -value "June" +value "décembre" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" ) (vvPair variable "package_name" @@ -264,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "13:07:15" +value "08:21:21" ) (vvPair variable "unit" @@ -272,11 +310,11 @@ value "cursorCircuit" ) (vvPair variable "user" -value "silvan.zahno" +value "remi" ) (vvPair variable "version" -value "2018.1 (Build 12)" +value "2019.2 (Build 5)" ) (vvPair variable "view" @@ -284,11 +322,11 @@ value "studentVersion" ) (vvPair variable "year" -value "2019" +value "2021" ) (vvPair variable "yy" -value "19" +value "21" ) ] ) @@ -309,8 +347,7 @@ va (VaSet isHidden 1 ) xt "26000,1000,37800,2200" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) *2 (Net @@ -327,8 +364,7 @@ va (VaSet isHidden 1 ) xt "26000,-2600,37900,-1400" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *3 (Grouping @@ -413,7 +449,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "125200,150400,143300,151600" +xt "125200,150400,139700,151600" st " by %user on %dd %month %year " @@ -716,8 +752,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-7400,6800" -st "restart : std_uLogic -" +st "restart : std_uLogic" ) ) *16 (PortIoIn @@ -733,16 +768,16 @@ optionalChildren [ uid 2310,0 sl 0 ro 270 -xt "42000,65625,43500,66375" +xt "42000,59625,43500,60375" ) (Line uid 2311,0 sl 0 ro 270 -xt "43500,66000,44000,66000" +xt "43500,60000,44000,60000" pts [ -"43500,66000" -"44000,66000" +"43500,60000" +"44000,60000" ] ) ] @@ -757,10 +792,10 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "34300,65300,41000,66700" +xt "34300,59300,41000,60700" st "testMode" ju 2 -blo "41000,66500" +blo "41000,60500" tm "WireNameMgr" ) s (Text @@ -768,9 +803,9 @@ uid 2314,0 va (VaSet font "Verdana,12,0" ) -xt "34300,66700,34300,66700" +xt "34300,60700,34300,60700" ju 2 -blo "34300,66700" +blo "34300,60700" tm "SignalTypeMgr" ) ) @@ -790,8 +825,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-6600,6800" -st "testMode : std_uLogic -" +st "testMode : std_uLogic" ) ) *18 (PortIoOut @@ -807,16 +841,16 @@ optionalChildren [ uid 2944,0 sl 0 ro 270 -xt "160500,-9375,162000,-8625" +xt "126500,9625,128000,10375" ) (Line uid 2945,0 sl 0 ro 270 -xt "160000,-9000,160500,-9000" +xt "126000,10000,126500,10000" pts [ -"160000,-9000" -"160500,-9000" +"126000,10000" +"126500,10000" ] ) ] @@ -831,9 +865,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "163000,-9700,182600,-8300" +xt "129000,9300,148600,10700" st "testOut : (1 TO testLineNb)" -blo "163000,-8500" +blo "129000,10500" tm "WireNameMgr" ) s (Text @@ -841,8 +875,8 @@ uid 2948,0 va (VaSet font "Verdana,12,0" ) -xt "163000,-8300,163000,-8300" -blo "163000,-8300" +xt "129000,10700,129000,10700" +blo "129000,10700" tm "SignalTypeMgr" ) ) @@ -863,8 +897,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,20600,1800" -st "testOut : std_uLogic_vector(1 TO testLineNb) -" +st "testOut : std_uLogic_vector(1 TO testLineNb)" ) ) *20 (PortIoIn @@ -880,16 +913,16 @@ optionalChildren [ uid 3261,0 sl 0 ro 270 -xt "42000,35625,43500,36375" +xt "42000,55625,43500,56375" ) (Line uid 3262,0 sl 0 ro 270 -xt "43500,36000,44000,36000" +xt "43500,56000,44000,56000" pts [ -"43500,36000" -"44000,36000" +"43500,56000" +"44000,56000" ] ) ] @@ -904,10 +937,10 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "35100,35300,41000,36700" +xt "35100,55300,41000,56700" st "sensor1" ju 2 -blo "41000,36500" +blo "41000,56500" tm "WireNameMgr" ) s (Text @@ -915,9 +948,9 @@ uid 3265,0 va (VaSet font "Verdana,12,0" ) -xt "35100,36700,35100,36700" +xt "35100,56700,35100,56700" ju 2 -blo "35100,36700" +blo "35100,56700" tm "SignalTypeMgr" ) ) @@ -937,8 +970,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor1 : std_uLogic -" +st "sensor1 : std_uLogic" ) ) *22 (PortIoIn @@ -954,16 +986,16 @@ optionalChildren [ uid 3276,0 sl 0 ro 270 -xt "42000,33625,43500,34375" +xt "42000,53625,43500,54375" ) (Line uid 3277,0 sl 0 ro 270 -xt "43500,34000,44000,34000" +xt "43500,54000,44000,54000" pts [ -"43500,34000" -"44000,34000" +"43500,54000" +"44000,54000" ] ) ] @@ -978,10 +1010,10 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "35100,33300,41000,34700" +xt "35100,53300,41000,54700" st "sensor2" ju 2 -blo "41000,34500" +blo "41000,54500" tm "WireNameMgr" ) s (Text @@ -989,9 +1021,9 @@ uid 3280,0 va (VaSet font "Verdana,12,0" ) -xt "35100,34700,35100,34700" +xt "35100,54700,35100,54700" ju 2 -blo "35100,34700" +blo "35100,54700" tm "SignalTypeMgr" ) ) @@ -1011,8 +1043,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor2 : std_uLogic -" +st "sensor2 : std_uLogic" ) ) *24 (PortIoOut @@ -1083,8 +1114,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10500,1800" -st "motorOn : std_uLogic -" +st "motorOn : std_uLogic" ) ) *26 (PortIoOut @@ -1155,8 +1185,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side1 : std_uLogic -" +st "side1 : std_uLogic" ) ) *28 (PortIoOut @@ -1227,8 +1256,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side2 : std_uLogic -" +st "side2 : std_uLogic" ) ) *30 (PortIoIn @@ -1301,8 +1329,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderA : std_uLogic -" +st "encoderA : std_uLogic" ) ) *32 (PortIoIn @@ -1375,8 +1402,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderB : std_uLogic -" +st "encoderB : std_uLogic" ) ) *34 (PortIoIn @@ -1449,8 +1475,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10200,1800" -st "encoderI : std_uLogic -" +st "encoderI : std_uLogic" ) ) *36 (PortIoIn @@ -1523,8 +1548,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go1 : std_uLogic -" +st "go1 : std_uLogic" ) ) *38 (PortIoIn @@ -1597,8 +1621,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go2 : std_uLogic -" +st "go2 : std_uLogic" ) ) *40 (PortIoIn @@ -1670,8 +1693,7 @@ va (VaSet isHidden 1 ) xt "0,-23800,12800,-22600" -st "button4 : std_uLogic -" +st "button4 : std_uLogic" ) ) *42 (PortIoIn @@ -1798,8 +1820,7 @@ va (VaSet isHidden 1 ) xt "0,400,12600,1600" -st "CS1_n : std_ulogic -" +st "CS1_n : std_ulogic" ) ) *45 (Net @@ -1816,8 +1837,7 @@ va (VaSet isHidden 1 ) xt "0,400,11900,1600" -st "SCL : std_ulogic -" +st "SCL : std_ulogic" ) ) *46 (Net @@ -1834,8 +1854,7 @@ va (VaSet isHidden 1 ) xt "0,400,11300,1600" -st "SI : std_ulogic -" +st "SI : std_ulogic" ) ) *47 (Net @@ -1852,8 +1871,7 @@ va (VaSet isHidden 1 ) xt "0,400,11600,1600" -st "A0 : std_ulogic -" +st "A0 : std_ulogic" ) ) *48 (Net @@ -1870,8 +1888,7 @@ va (VaSet isHidden 1 ) xt "0,400,12500,1600" -st "RST_n : std_ulogic -" +st "RST_n : std_ulogic" ) ) *49 (PortIoOut @@ -1887,16 +1904,16 @@ optionalChildren [ uid 9991,0 sl 0 ro 270 -xt "140500,98625,142000,99375" +xt "136500,72625,138000,73375" ) (Line uid 9992,0 sl 0 ro 270 -xt "140000,99000,140500,99000" +xt "136000,73000,136500,73000" pts [ -"140000,99000" -"140500,99000" +"136000,73000" +"136500,73000" ] ) ] @@ -1911,9 +1928,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "143000,98300,147900,99700" +xt "139000,72300,143900,73700" st "CS1_n" -blo "143000,99500" +blo "139000,73500" tm "WireNameMgr" ) s (Text @@ -1921,8 +1938,8 @@ uid 9995,0 va (VaSet font "Verdana,12,0" ) -xt "143000,99700,143000,99700" -blo "143000,99700" +xt "139000,73700,139000,73700" +blo "139000,73700" tm "SignalTypeMgr" ) ) @@ -1940,16 +1957,16 @@ optionalChildren [ uid 9998,0 sl 0 ro 270 -xt "140500,100625,142000,101375" +xt "136500,74625,138000,75375" ) (Line uid 9999,0 sl 0 ro 270 -xt "140000,101000,140500,101000" +xt "136000,75000,136500,75000" pts [ -"140000,101000" -"140500,101000" +"136000,75000" +"136500,75000" ] ) ] @@ -1964,9 +1981,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "143000,100300,146200,101700" +xt "139000,74300,142200,75700" st "SCL" -blo "143000,101500" +blo "139000,75500" tm "WireNameMgr" ) s (Text @@ -1974,8 +1991,8 @@ uid 10002,0 va (VaSet font "Verdana,12,0" ) -xt "143000,101700,143000,101700" -blo "143000,101700" +xt "139000,75700,139000,75700" +blo "139000,75700" tm "SignalTypeMgr" ) ) @@ -1993,16 +2010,16 @@ optionalChildren [ uid 10005,0 sl 0 ro 270 -xt "140500,102625,142000,103375" +xt "136500,76625,138000,77375" ) (Line uid 10006,0 sl 0 ro 270 -xt "140000,103000,140500,103000" +xt "136000,77000,136500,77000" pts [ -"140000,103000" -"140500,103000" +"136000,77000" +"136500,77000" ] ) ] @@ -2017,9 +2034,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "143000,102300,145100,103700" +xt "139000,76300,141100,77700" st "SI" -blo "143000,103500" +blo "139000,77500" tm "WireNameMgr" ) s (Text @@ -2027,8 +2044,8 @@ uid 10009,0 va (VaSet font "Verdana,12,0" ) -xt "143000,103700,143000,103700" -blo "143000,103700" +xt "139000,77700,139000,77700" +blo "139000,77700" tm "SignalTypeMgr" ) ) @@ -2046,16 +2063,16 @@ optionalChildren [ uid 10012,0 sl 0 ro 270 -xt "140500,104625,142000,105375" +xt "136500,78625,138000,79375" ) (Line uid 10013,0 sl 0 ro 270 -xt "140000,105000,140500,105000" +xt "136000,79000,136500,79000" pts [ -"140000,105000" -"140500,105000" +"136000,79000" +"136500,79000" ] ) ] @@ -2070,9 +2087,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "143000,104300,145400,105700" +xt "139000,78300,141400,79700" st "A0" -blo "143000,105500" +blo "139000,79500" tm "WireNameMgr" ) s (Text @@ -2080,8 +2097,8 @@ uid 10016,0 va (VaSet font "Verdana,12,0" ) -xt "143000,105700,143000,105700" -blo "143000,105700" +xt "139000,79700,139000,79700" +blo "139000,79700" tm "SignalTypeMgr" ) ) @@ -2099,16 +2116,16 @@ optionalChildren [ uid 10019,0 sl 0 ro 270 -xt "140500,106625,142000,107375" +xt "136500,80625,138000,81375" ) (Line uid 10020,0 sl 0 ro 270 -xt "140000,107000,140500,107000" +xt "136000,81000,136500,81000" pts [ -"140000,107000" -"140500,107000" +"136000,81000" +"136500,81000" ] ) ] @@ -2123,9 +2140,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "143000,106300,147700,107700" +xt "139000,80300,143700,81700" st "RST_n" -blo "143000,107500" +blo "139000,81500" tm "WireNameMgr" ) s (Text @@ -2133,28 +2150,392 @@ uid 10023,0 va (VaSet font "Verdana,12,0" ) -xt "143000,107700,143000,107700" -blo "143000,107700" +xt "139000,81700,139000,81700" +blo "139000,81700" tm "SignalTypeMgr" ) ) ) -*54 (Wire +*54 (Blk +uid 11433,0 +shape (Rectangle +uid 11434,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "61000,8000,69000,18000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11435,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 11436,0 +va (VaSet +) +xt "62950,11200,67050,12400" +st "Cursor" +blo "62950,12200" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 11437,0 +va (VaSet +) +xt "62950,12400,67750,13600" +st "Position" +blo "62950,13400" +tm "BlkNameMgr" +) +*57 (Text +uid 11438,0 +va (VaSet +) +xt "62950,13600,64150,14800" +st "I0" +blo "62950,14600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11439,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11440,0 +text (MLText +uid 11441,0 +va (VaSet +isHidden 1 +) +xt "62950,21200,62950,21200" +) +header "" +) +elements [ +] +) +) +*58 (Blk +uid 11442,0 +shape (Rectangle +uid 11443,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "56000,38000,64000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11444,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 11445,0 +va (VaSet +) +xt "57950,41200,62050,42400" +st "Cursor" +blo "57950,42200" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 11446,0 +va (VaSet +) +xt "57950,42400,61950,43600" +st "Button" +blo "57950,43400" +tm "BlkNameMgr" +) +*61 (Text +uid 11447,0 +va (VaSet +) +xt "57950,43600,59850,44800" +st "I1" +blo "57950,44600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11448,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11449,0 +text (MLText +uid 11450,0 +va (VaSet +isHidden 1 +) +xt "57950,51200,57950,51200" +) +header "" +) +elements [ +] +) +) +*62 (Blk +uid 11451,0 +shape (Rectangle +uid 11452,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "80000,8000,110000,71000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11453,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 11454,0 +va (VaSet +) +xt "87950,54200,92050,55400" +st "Cursor" +blo "87950,55200" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 11455,0 +va (VaSet +) +xt "87950,55400,91050,56600" +st "Main" +blo "87950,56400" +tm "BlkNameMgr" +) +*65 (Text +uid 11456,0 +va (VaSet +) +xt "87950,56600,89850,57800" +st "I2" +blo "87950,57600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11457,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11458,0 +text (MLText +uid 11459,0 +va (VaSet +isHidden 1 +) +xt "87950,64200,87950,64200" +) +header "" +) +elements [ +] +) +) +*66 (Blk +uid 11460,0 +shape (Rectangle +uid 11461,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "120000,59000,128000,73000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11462,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 11463,0 +va (VaSet +) +xt "121950,62200,126050,63400" +st "Cursor" +blo "121950,63200" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 11464,0 +va (VaSet +) +xt "121950,63400,125750,64600" +st "Driver" +blo "121950,64400" +tm "BlkNameMgr" +) +*69 (Text +uid 11465,0 +va (VaSet +) +xt "121950,64600,123850,65800" +st "I3" +blo "121950,65600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11466,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11467,0 +text (MLText +uid 11468,0 +va (VaSet +isHidden 1 +) +xt "121950,72200,121950,72200" +) +header "" +) +elements [ +] +) +) +*70 (Net +uid 11537,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 24 +suid 78,0 +) +declText (MLText +uid 11538,0 +va (VaSet +isHidden 1 +) +xt "0,0,16300,1200" +st "SIGNAL RaZ : std_ulogic" +) +) +*71 (Net +uid 11539,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 23 +suid 79,0 +) +declText (MLText +uid 11540,0 +va (VaSet +isHidden 1 +) +xt "0,0,24700,1200" +st "SIGNAL Position : unsigned(15 DOWNTO 0)" +) +) +*72 (Net +uid 11567,0 +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 25 +suid 81,0 +) +declText (MLText +uid 11568,0 +va (VaSet +isHidden 1 +) +xt "0,0,23700,1200" +st "SIGNAL button : unsigned(3 DOWNTO 0)" +) +) +*73 (Net +uid 11579,0 +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 26 +suid 83,0 +) +declText (MLText +uid 11580,0 +va (VaSet +isHidden 1 +) +xt "0,0,16700,1200" +st "SIGNAL unlock : std_ulogic" +) +) +*74 (Net +uid 11649,0 +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 27 +suid 86,0 +) +declText (MLText +uid 11650,0 +va (VaSet +isHidden 1 +) +xt "0,0,16500,1200" +st "SIGNAL SideL : std_ulogic" +) +) +*75 (Net +uid 11651,0 +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 26 +suid 87,0 +) +declText (MLText +uid 11652,0 +va (VaSet +isHidden 1 +) +xt "0,0,23800,1200" +st "SIGNAL Power : unsigned(7 DOWNTO 0)" +) +) +*76 (Wire uid 2282,0 shape (OrthoPolyLine uid 2283,0 va (VaSet vasetType 3 ) -xt "44000,40000,52000,40000" +xt "44000,40000,56000,40000" pts [ "44000,40000" -"52000,40000" +"56000,40000" ] ) start &14 +end &58 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2176,22 +2557,23 @@ tm "WireNameMgr" ) on &15 ) -*55 (Wire +*77 (Wire uid 2315,0 shape (OrthoPolyLine uid 2316,0 va (VaSet vasetType 3 ) -xt "44000,66000,51250,66000" +xt "44000,60000,80000,60000" pts [ -"44000,66000" -"51250,66000" +"44000,60000" +"80000,60000" ] ) start &16 +end &62 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2205,15 +2587,15 @@ uid 2320,0 va (VaSet font "Verdana,12,0" ) -xt "44000,64600,49600,65900" +xt "44000,58600,49600,59900" st "testMode" -blo "44000,65600" +blo "44000,59600" tm "WireNameMgr" ) ) on &17 ) -*56 (Wire +*78 (Wire uid 2949,0 shape (OrthoPolyLine uid 2950,0 @@ -2221,14 +2603,15 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "152000,-9000,160000,-9000" +xt "110000,10000,126000,10000" pts [ -"152000,-9000" -"160000,-9000" +"110000,10000" +"126000,10000" ] ) +start &62 end &18 -sat 16 +sat 2 eat 32 sty 1 stc 0 @@ -2244,30 +2627,31 @@ uid 2954,0 va (VaSet font "Verdana,12,0" ) -xt "154000,-10400,158900,-9100" +xt "120000,8600,124900,9900" st "testOut" -blo "154000,-9400" +blo "120000,9600" tm "WireNameMgr" ) ) on &19 ) -*57 (Wire +*79 (Wire uid 3266,0 shape (OrthoPolyLine uid 3267,0 va (VaSet vasetType 3 ) -xt "44000,36000,52000,36000" +xt "44000,56000,80000,56000" pts [ -"44000,36000" -"52000,36000" +"44000,56000" +"80000,56000" ] ) start &20 +end &62 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2281,30 +2665,31 @@ uid 3271,0 va (VaSet font "Verdana,12,0" ) -xt "44000,34600,48900,35900" +xt "44000,54600,48900,55900" st "sensor1" -blo "44000,35600" +blo "44000,55600" tm "WireNameMgr" ) ) on &21 ) -*58 (Wire +*80 (Wire uid 3281,0 shape (OrthoPolyLine uid 3282,0 va (VaSet vasetType 3 ) -xt "44000,34000,52000,34000" +xt "44000,54000,80000,54000" pts [ -"44000,34000" -"52000,34000" +"44000,54000" +"80000,54000" ] ) start &22 +end &62 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2318,29 +2703,30 @@ uid 3286,0 va (VaSet font "Verdana,12,0" ) -xt "44000,32600,48900,33900" +xt "44000,52600,48900,53900" st "sensor2" -blo "44000,33600" +blo "44000,53600" tm "WireNameMgr" ) ) on &23 ) -*59 (Wire +*81 (Wire uid 4262,0 shape (OrthoPolyLine uid 4263,0 va (VaSet vasetType 3 ) -xt "132750,68000,140000,68000" +xt "128000,68000,140000,68000" pts [ -"132750,68000" +"128000,68000" "140000,68000" ] ) +start &66 end &26 -sat 16 +sat 2 eat 32 stc 0 st 0 @@ -2363,21 +2749,22 @@ tm "WireNameMgr" ) on &27 ) -*60 (Wire +*82 (Wire uid 4277,0 shape (OrthoPolyLine uid 4278,0 va (VaSet vasetType 3 ) -xt "132750,66000,140000,66000" +xt "128000,66000,140000,66000" pts [ -"132750,66000" +"128000,66000" "140000,66000" ] ) +start &66 end &28 -sat 16 +sat 2 eat 32 stc 0 st 0 @@ -2400,22 +2787,23 @@ tm "WireNameMgr" ) on &29 ) -*61 (Wire +*83 (Wire uid 4535,0 shape (OrthoPolyLine uid 4536,0 va (VaSet vasetType 3 ) -xt "44000,10000,51250,10000" +xt "44000,10000,61000,10000" pts [ "44000,10000" -"51250,10000" +"61000,10000" ] ) start &30 +end &54 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2437,22 +2825,23 @@ tm "WireNameMgr" ) on &31 ) -*62 (Wire +*84 (Wire uid 4550,0 shape (OrthoPolyLine uid 4551,0 va (VaSet vasetType 3 ) -xt "44000,12000,51250,12000" +xt "44000,12000,61000,12000" pts [ "44000,12000" -"51250,12000" +"61000,12000" ] ) start &32 +end &54 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2474,22 +2863,23 @@ tm "WireNameMgr" ) on &33 ) -*63 (Wire +*85 (Wire uid 4565,0 shape (OrthoPolyLine uid 4566,0 va (VaSet vasetType 3 ) -xt "44000,14000,51250,14000" +xt "44000,14000,61000,14000" pts [ "44000,14000" -"51250,14000" +"61000,14000" ] ) start &34 +end &54 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2503,30 +2893,31 @@ uid 4570,0 va (VaSet font "Verdana,12,0" ) -xt "44000,12600,49600,13900" +xt "46000,12600,52400,14000" st "encoderI" -blo "44000,13600" +blo "46000,13800" tm "WireNameMgr" ) ) on &35 ) -*64 (Wire +*86 (Wire uid 4580,0 shape (OrthoPolyLine uid 4581,0 va (VaSet vasetType 3 ) -xt "44000,42000,52000,42000" +xt "44000,42000,56000,42000" pts [ "44000,42000" -"52000,42000" +"56000,42000" ] ) start &36 +end &58 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2548,22 +2939,23 @@ tm "WireNameMgr" ) on &37 ) -*65 (Wire +*87 (Wire uid 4595,0 shape (OrthoPolyLine uid 4596,0 va (VaSet vasetType 3 ) -xt "44000,44000,52000,44000" +xt "44000,44000,56000,44000" pts [ "44000,44000" -"52000,44000" +"56000,44000" ] ) start &38 +end &58 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2585,7 +2977,7 @@ tm "WireNameMgr" ) on &39 ) -*66 (Wire +*88 (Wire uid 4978,0 shape (OrthoPolyLine uid 4979,0 @@ -2622,7 +3014,7 @@ tm "WireNameMgr" ) on &1 ) -*67 (Wire +*89 (Wire uid 4986,0 shape (OrthoPolyLine uid 4987,0 @@ -2659,22 +3051,23 @@ tm "WireNameMgr" ) on &2 ) -*68 (Wire +*90 (Wire uid 6102,0 shape (OrthoPolyLine uid 6103,0 va (VaSet vasetType 3 ) -xt "133000,60000,140000,60000" +xt "128000,60000,140000,60000" pts [ -"133000,60000" +"128000,60000" "140000,60000" ] ) +start &66 end &24 es 0 -sat 16 +sat 2 eat 32 stc 0 st 0 @@ -2689,30 +3082,31 @@ uid 6105,0 va (VaSet font "Verdana,12,0" ) -xt "135000,58600,139900,59900" +xt "130000,58600,136300,60000" st "motorOn" -blo "135000,59600" +blo "130000,59800" tm "WireNameMgr" ) ) on &25 ) -*69 (Wire +*91 (Wire uid 7310,0 shape (OrthoPolyLine uid 7311,0 va (VaSet vasetType 3 ) -xt "44000,46000,52000,46000" +xt "44000,46000,56000,46000" pts [ "44000,46000" -"52000,46000" +"56000,46000" ] ) start &40 +end &58 sat 32 -eat 16 +eat 1 stc 0 st 0 sf 1 @@ -2734,17 +3128,17 @@ tm "WireNameMgr" ) on &41 ) -*70 (Wire +*92 (Wire uid 9951,0 shape (OrthoPolyLine uid 9952,0 va (VaSet vasetType 3 ) -xt "132750,99000,140000,99000" +xt "128750,73000,136000,73000" pts [ -"132750,99000" -"140000,99000" +"128750,73000" +"136000,73000" ] ) end &49 @@ -2763,25 +3157,25 @@ uid 9956,0 va (VaSet font "Verdana,12,0" ) -xt "137000,97600,140500,98900" +xt "133000,71600,136500,72900" st "CS1_n" -blo "137000,98600" +blo "133000,72600" tm "WireNameMgr" ) ) on &44 ) -*71 (Wire +*93 (Wire uid 9959,0 shape (OrthoPolyLine uid 9960,0 va (VaSet vasetType 3 ) -xt "132750,101000,140000,101000" +xt "128750,75000,136000,75000" pts [ -"132750,101000" -"140000,101000" +"128750,75000" +"136000,75000" ] ) end &50 @@ -2800,25 +3194,25 @@ uid 9964,0 va (VaSet font "Verdana,12,0" ) -xt "137000,99600,139100,100900" +xt "133000,73600,135100,74900" st "SCL" -blo "137000,100600" +blo "133000,74600" tm "WireNameMgr" ) ) on &45 ) -*72 (Wire +*94 (Wire uid 9967,0 shape (OrthoPolyLine uid 9968,0 va (VaSet vasetType 3 ) -xt "132750,103000,140000,103000" +xt "128750,77000,136000,77000" pts [ -"132750,103000" -"140000,103000" +"128750,77000" +"136000,77000" ] ) end &51 @@ -2837,25 +3231,25 @@ uid 9972,0 va (VaSet font "Verdana,12,0" ) -xt "138000,101600,139400,102900" +xt "134000,75600,135400,76900" st "SI" -blo "138000,102600" +blo "134000,76600" tm "WireNameMgr" ) ) on &46 ) -*73 (Wire +*95 (Wire uid 9975,0 shape (OrthoPolyLine uid 9976,0 va (VaSet vasetType 3 ) -xt "132750,105000,140000,105000" +xt "128750,79000,136000,79000" pts [ -"132750,105000" -"140000,105000" +"128750,79000" +"136000,79000" ] ) end &52 @@ -2874,25 +3268,25 @@ uid 9980,0 va (VaSet font "Verdana,12,0" ) -xt "138000,103600,139400,104900" +xt "134000,77600,135400,78900" st "A0" -blo "138000,104600" +blo "134000,78600" tm "WireNameMgr" ) ) on &47 ) -*74 (Wire +*96 (Wire uid 9983,0 shape (OrthoPolyLine uid 9984,0 va (VaSet vasetType 3 ) -xt "132750,107000,140000,107000" +xt "128750,81000,136000,81000" pts [ -"132750,107000" -"140000,107000" +"128750,81000" +"136000,81000" ] ) end &53 @@ -2911,14 +3305,548 @@ uid 9988,0 va (VaSet font "Verdana,12,0" ) -xt "137000,105600,140500,106900" +xt "133000,79600,136500,80900" st "RST_n" -blo "137000,106600" +blo "133000,80600" tm "WireNameMgr" ) ) on &48 ) +*97 (Wire +uid 11487,0 +shape (OrthoPolyLine +uid 11488,0 +va (VaSet +vasetType 3 +) +xt "56000,16000,61000,16000" +pts [ +"61000,16000" +"56000,16000" +] +) +start &54 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11493,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11494,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,14600,60800,16000" +st "clock" +blo "57000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*98 (Wire +uid 11497,0 +shape (OrthoPolyLine +uid 11498,0 +va (VaSet +vasetType 3 +) +xt "56000,17000,61000,17000" +pts [ +"61000,17000" +"56000,17000" +"56000,17000" +] +) +start &54 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11504,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,15600,61100,17000" +st "reset" +blo "57000,16800" +tm "WireNameMgr" +) +) +on &1 +) +*99 (Wire +uid 11519,0 +shape (OrthoPolyLine +uid 11520,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69000,10000,80000,10000" +pts [ +"69000,10000" +"80000,10000" +] +) +start &54 +end &62 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11525,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11526,0 +va (VaSet +font "Verdana,12,0" +) +xt "75000,8600,80800,10000" +st "Position" +blo "75000,9800" +tm "WireNameMgr" +) +) +on &71 +) +*100 (Wire +uid 11529,0 +shape (OrthoPolyLine +uid 11530,0 +va (VaSet +vasetType 3 +) +xt "69000,17000,80000,17000" +pts [ +"80000,17000" +"69000,17000" +] +) +start &62 +end &54 +sat 2 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11535,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11536,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,15600,79200,17000" +st "RaZ" +blo "76000,16800" +tm "WireNameMgr" +) +) +on &70 +) +*101 (Wire +uid 11541,0 +shape (OrthoPolyLine +uid 11542,0 +va (VaSet +vasetType 3 +) +xt "49000,49000,56000,49000" +pts [ +"56000,49000" +"49000,49000" +] +) +start &58 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11548,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,47600,55800,49000" +st "clock" +blo "52000,48800" +tm "WireNameMgr" +) +) +on &2 +) +*102 (Wire +uid 11549,0 +shape (OrthoPolyLine +uid 11550,0 +va (VaSet +vasetType 3 +) +xt "49000,50000,56000,50000" +pts [ +"56000,50000" +"49000,50000" +"49000,50000" +] +) +start &58 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11555,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11556,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,48600,56100,50000" +st "reset" +blo "52000,49800" +tm "WireNameMgr" +) +) +on &1 +) +*103 (Wire +uid 11559,0 +shape (OrthoPolyLine +uid 11560,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "64000,41000,80000,41000" +pts [ +"64000,41000" +"80000,41000" +] +) +start &58 +end &62 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11566,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,39600,79000,41000" +st "button" +blo "74000,40800" +tm "WireNameMgr" +) +) +on &72 +) +*104 (Wire +uid 11571,0 +shape (OrthoPolyLine +uid 11572,0 +va (VaSet +vasetType 3 +) +xt "64000,48000,80000,48000" +pts [ +"80000,48000" +"64000,48000" +] +) +start &62 +end &58 +sat 2 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11578,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,46600,80800,48000" +st "unlock" +blo "76000,47800" +tm "WireNameMgr" +) +) +on &73 +) +*105 (Wire +uid 11589,0 +shape (OrthoPolyLine +uid 11590,0 +va (VaSet +vasetType 3 +) +xt "71000,66000,80000,66000" +pts [ +"80000,66000" +"71000,66000" +"71000,66000" +] +) +start &62 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11595,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11596,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,64600,80100,66000" +st "reset" +blo "76000,65800" +tm "WireNameMgr" +) +) +on &1 +) +*106 (Wire +uid 11597,0 +shape (OrthoPolyLine +uid 11598,0 +va (VaSet +vasetType 3 +) +xt "71000,65000,80000,65000" +pts [ +"80000,65000" +"71000,65000" +] +) +start &62 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11603,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11604,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,63600,79800,65000" +st "clock" +blo "76000,64800" +tm "WireNameMgr" +) +) +on &2 +) +*107 (Wire +uid 11631,0 +shape (OrthoPolyLine +uid 11632,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,62000,120000,62000" +pts [ +"110000,62000" +"120000,62000" +] +) +start &62 +end &66 +sat 2 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11638,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,60600,116800,62000" +st "Power" +blo "112000,61800" +tm "WireNameMgr" +) +) +on &75 +) +*108 (Wire +uid 11641,0 +shape (OrthoPolyLine +uid 11642,0 +va (VaSet +vasetType 3 +) +xt "110000,66000,120000,66000" +pts [ +"110000,66000" +"120000,66000" +] +) +start &62 +end &66 +sat 2 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11647,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11648,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,64600,116200,66000" +st "SideL" +blo "112000,65800" +tm "WireNameMgr" +) +) +on &74 +) +*109 (Wire +uid 11657,0 +shape (OrthoPolyLine +uid 11658,0 +va (VaSet +vasetType 3 +) +xt "112000,71000,120000,71000" +pts [ +"120000,71000" +"112000,71000" +"112000,71000" +] +) +start &66 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11663,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11664,0 +va (VaSet +font "Verdana,12,0" +) +xt "116000,69600,120100,71000" +st "reset" +blo "116000,70800" +tm "WireNameMgr" +) +) +on &1 +) +*110 (Wire +uid 11665,0 +shape (OrthoPolyLine +uid 11666,0 +va (VaSet +vasetType 3 +) +xt "112000,70000,120000,70000" +pts [ +"120000,70000" +"112000,70000" +] +) +start &66 +sat 1 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11671,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11672,0 +va (VaSet +font "Verdana,12,0" +) +xt "116000,68600,119800,70000" +st "clock" +blo "116000,69800" +tm "WireNameMgr" +) +) +on &2 +) ] bg "65535,65535,65535" grid (Grid @@ -2931,11 +3859,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *75 (PackageList +packageList *111 (PackageList uid 42,0 stg "VerticalLayoutStrategy" textVec [ -*76 (Text +*112 (Text uid 573,0 va (VaSet font "Verdana,8,1" @@ -2944,7 +3872,7 @@ xt "24000,-12000,30500,-11100" st "Package List" blo "24000,-11300" ) -*77 (MLText +*113 (MLText uid 574,0 va (VaSet ) @@ -2960,7 +3888,7 @@ compDirBlock (MlTextGroup uid 45,0 stg "VerticalLayoutStrategy" textVec [ -*78 (Text +*114 (Text uid 46,0 va (VaSet isHidden 1 @@ -2970,7 +3898,7 @@ xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) -*79 (Text +*115 (Text uid 47,0 va (VaSet isHidden 1 @@ -2980,7 +3908,7 @@ xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) -*80 (MLText +*116 (MLText uid 48,0 va (VaSet isHidden 1 @@ -2990,7 +3918,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*81 (Text +*117 (Text uid 49,0 va (VaSet isHidden 1 @@ -3000,7 +3928,7 @@ xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) -*82 (MLText +*118 (MLText uid 50,0 va (VaSet isHidden 1 @@ -3008,7 +3936,7 @@ isHidden 1 xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*83 (Text +*119 (Text uid 51,0 va (VaSet isHidden 1 @@ -3018,7 +3946,7 @@ xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) -*84 (MLText +*120 (MLText uid 52,0 va (VaSet isHidden 1 @@ -3029,9 +3957,9 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "502,73,1646,952" -viewArea "22700,26900,175367,147733" -cachedDiagramExtent "-17000,-23800,182600,152000" +windowSize "-8,-8,1544,928" +viewArea "43900,13033,156882,81982" +cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," fileName "\\\\EIV\\a309_hplj4050.electro.eiv" @@ -3057,7 +3985,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 11367,0 +lastUid 11672,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3145,7 +4073,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*85 (Text +*121 (Text va (VaSet ) xt "2100,3000,6700,4200" @@ -3153,7 +4081,7 @@ st "" blo "2100,4000" tm "BdLibraryNameMgr" ) -*86 (Text +*122 (Text va (VaSet ) xt "2100,4200,6200,5400" @@ -3161,7 +4089,7 @@ st "" blo "2100,5200" tm "BlkNameMgr" ) -*87 (Text +*123 (Text va (VaSet ) xt "2100,5400,3300,6600" @@ -3200,21 +4128,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*88 (Text +*124 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*89 (Text +*125 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*90 (Text +*126 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -3258,7 +4186,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*91 (Text +*127 (Text va (VaSet ) xt "900,3000,3200,4000" @@ -3266,7 +4194,7 @@ st "Library" blo "900,3800" tm "BdLibraryNameMgr" ) -*92 (Text +*128 (Text va (VaSet ) xt "900,4000,6400,5000" @@ -3274,7 +4202,7 @@ st "SaComponent" blo "900,4800" tm "CptNameMgr" ) -*93 (Text +*129 (Text va (VaSet ) xt "900,5000,1500,6000" @@ -3312,21 +4240,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*94 (Text +*130 (Text va (VaSet ) xt "400,3000,2700,4000" st "Library" blo "400,3800" ) -*95 (Text +*131 (Text va (VaSet ) xt "400,4000,6500,5000" st "VhdlComponent" blo "400,4800" ) -*96 (Text +*132 (Text va (VaSet ) xt "400,5000,1000,6000" @@ -3366,21 +4294,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*97 (Text +*133 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*98 (Text +*134 (Text va (VaSet ) xt "-100,4000,7000,5000" st "VerilogComponent" blo "-100,4800" ) -*99 (Text +*135 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -3418,7 +4346,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*100 (Text +*136 (Text va (VaSet ) xt "3300,3700,4500,4700" @@ -3426,7 +4354,7 @@ st "eb1" blo "3300,4500" tm "HdlTextNameMgr" ) -*101 (Text +*137 (Text va (VaSet ) xt "3300,4700,3700,5700" @@ -3856,7 +4784,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*102 (Text +*138 (Text va (VaSet font "Verdana,8,1" ) @@ -3864,7 +4792,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*103 (MLText +*139 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -3916,7 +4844,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*104 (Text +*140 (Text va (VaSet font "Verdana,8,1" ) @@ -3924,7 +4852,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*105 (MLText +*141 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -4070,46 +4998,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 72,0 +suid 87,0 usingSuid 1 -emptyRow *106 (LEmptyRow +emptyRow *142 (LEmptyRow ) uid 5714,0 optionalChildren [ -*107 (RefLabelRowHdr +*143 (RefLabelRowHdr ) -*108 (TitleRowHdr +*144 (TitleRowHdr ) -*109 (FilterRowHdr +*145 (FilterRowHdr ) -*110 (RefLabelColHdr +*146 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*111 (RowExpandColHdr +*147 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*112 (GroupColHdr +*148 (GroupColHdr tm "GroupColHdrMgr" ) -*113 (NameColHdr +*149 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*114 (ModeColHdr +*150 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*115 (TypeColHdr +*151 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*116 (BoundsColHdr +*152 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*117 (InitColHdr +*153 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*118 (EolColHdr +*154 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*119 (LeafLogPort +*155 (LeafLogPort port (LogicalPort decl (Decl n "reset" @@ -4120,7 +5048,7 @@ suid 1,0 ) uid 5659,0 ) -*120 (LeafLogPort +*156 (LeafLogPort port (LogicalPort decl (Decl n "clock" @@ -4131,7 +5059,7 @@ suid 2,0 ) uid 5661,0 ) -*121 (LeafLogPort +*157 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -4142,7 +5070,7 @@ suid 3,0 ) uid 5663,0 ) -*122 (LeafLogPort +*158 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -4153,7 +5081,7 @@ suid 4,0 ) uid 5665,0 ) -*123 (LeafLogPort +*159 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4166,7 +5094,7 @@ suid 5,0 ) uid 5667,0 ) -*124 (LeafLogPort +*160 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -4177,7 +5105,7 @@ suid 6,0 ) uid 5669,0 ) -*125 (LeafLogPort +*161 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -4188,7 +5116,7 @@ suid 7,0 ) uid 5671,0 ) -*126 (LeafLogPort +*162 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4200,7 +5128,7 @@ suid 10,0 ) uid 5677,0 ) -*127 (LeafLogPort +*163 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4212,7 +5140,7 @@ suid 12,0 ) uid 5681,0 ) -*128 (LeafLogPort +*164 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4224,7 +5152,7 @@ suid 13,0 ) uid 5683,0 ) -*129 (LeafLogPort +*165 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -4235,7 +5163,7 @@ suid 14,0 ) uid 5685,0 ) -*130 (LeafLogPort +*166 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -4246,7 +5174,7 @@ suid 15,0 ) uid 5687,0 ) -*131 (LeafLogPort +*167 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -4257,7 +5185,7 @@ suid 16,0 ) uid 5689,0 ) -*132 (LeafLogPort +*168 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -4268,7 +5196,7 @@ suid 17,0 ) uid 5691,0 ) -*133 (LeafLogPort +*169 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -4279,7 +5207,7 @@ suid 18,0 ) uid 5693,0 ) -*134 (LeafLogPort +*170 (LeafLogPort port (LogicalPort decl (Decl n "button4" @@ -4290,7 +5218,7 @@ suid 47,0 ) uid 7302,0 ) -*135 (LeafLogPort +*171 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4302,7 +5230,7 @@ suid 68,0 ) uid 10024,0 ) -*136 (LeafLogPort +*172 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4314,7 +5242,7 @@ suid 69,0 ) uid 10026,0 ) -*137 (LeafLogPort +*173 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4326,7 +5254,7 @@ suid 70,0 ) uid 10028,0 ) -*138 (LeafLogPort +*174 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4338,7 +5266,7 @@ suid 71,0 ) uid 10030,0 ) -*139 (LeafLogPort +*175 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -4350,6 +5278,87 @@ suid 72,0 ) uid 10032,0 ) +*176 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RaZ" +t "std_ulogic" +o 24 +suid 78,0 +) +) +uid 11581,0 +) +*177 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 23 +suid 79,0 +) +) +uid 11583,0 +) +*178 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 25 +suid 81,0 +) +) +uid 11585,0 +) +*179 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "unlock" +t "std_ulogic" +o 26 +suid 83,0 +) +) +uid 11587,0 +) +*180 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "SideL" +t "std_ulogic" +o 27 +suid 86,0 +) +) +uid 11653,0 +) +*181 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 26 +suid 87,0 +) +) +uid 11655,0 +) ] ) pdm (PhysicalDM @@ -4357,7 +5366,7 @@ displayShortBounds 1 editShortBounds 1 uid 5727,0 optionalChildren [ -*140 (Sheet +*182 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -4374,158 +5383,194 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *141 (MRCItem -litem &106 -pos 21 +emptyMRCItem *183 (MRCItem +litem &142 +pos 27 dimension 20 ) uid 5729,0 optionalChildren [ -*142 (MRCItem -litem &107 +*184 (MRCItem +litem &143 pos 0 dimension 20 uid 5730,0 ) -*143 (MRCItem -litem &108 +*185 (MRCItem +litem &144 pos 1 dimension 23 uid 5731,0 ) -*144 (MRCItem -litem &109 +*186 (MRCItem +litem &145 pos 2 hidden 1 dimension 20 uid 5732,0 ) -*145 (MRCItem -litem &119 +*187 (MRCItem +litem &155 pos 0 dimension 20 uid 5660,0 ) -*146 (MRCItem -litem &120 +*188 (MRCItem +litem &156 pos 1 dimension 20 uid 5662,0 ) -*147 (MRCItem -litem &121 +*189 (MRCItem +litem &157 pos 2 dimension 20 uid 5664,0 ) -*148 (MRCItem -litem &122 +*190 (MRCItem +litem &158 pos 3 dimension 20 uid 5666,0 ) -*149 (MRCItem -litem &123 +*191 (MRCItem +litem &159 pos 4 dimension 20 uid 5668,0 ) -*150 (MRCItem -litem &124 +*192 (MRCItem +litem &160 pos 5 dimension 20 uid 5670,0 ) -*151 (MRCItem -litem &125 +*193 (MRCItem +litem &161 pos 6 dimension 20 uid 5672,0 ) -*152 (MRCItem -litem &126 +*194 (MRCItem +litem &162 pos 7 dimension 20 uid 5678,0 ) -*153 (MRCItem -litem &127 +*195 (MRCItem +litem &163 pos 8 dimension 20 uid 5682,0 ) -*154 (MRCItem -litem &128 +*196 (MRCItem +litem &164 pos 9 dimension 20 uid 5684,0 ) -*155 (MRCItem -litem &129 +*197 (MRCItem +litem &165 pos 10 dimension 20 uid 5686,0 ) -*156 (MRCItem -litem &130 +*198 (MRCItem +litem &166 pos 11 dimension 20 uid 5688,0 ) -*157 (MRCItem -litem &131 +*199 (MRCItem +litem &167 pos 12 dimension 20 uid 5690,0 ) -*158 (MRCItem -litem &132 +*200 (MRCItem +litem &168 pos 13 dimension 20 uid 5692,0 ) -*159 (MRCItem -litem &133 +*201 (MRCItem +litem &169 pos 14 dimension 20 uid 5694,0 ) -*160 (MRCItem -litem &134 +*202 (MRCItem +litem &170 pos 15 dimension 20 uid 7301,0 ) -*161 (MRCItem -litem &135 +*203 (MRCItem +litem &171 pos 16 dimension 20 uid 10025,0 ) -*162 (MRCItem -litem &136 +*204 (MRCItem +litem &172 pos 17 dimension 20 uid 10027,0 ) -*163 (MRCItem -litem &137 +*205 (MRCItem +litem &173 pos 18 dimension 20 uid 10029,0 ) -*164 (MRCItem -litem &138 +*206 (MRCItem +litem &174 pos 19 dimension 20 uid 10031,0 ) -*165 (MRCItem -litem &139 +*207 (MRCItem +litem &175 pos 20 dimension 20 uid 10033,0 ) +*208 (MRCItem +litem &176 +pos 21 +dimension 20 +uid 11582,0 +) +*209 (MRCItem +litem &177 +pos 22 +dimension 20 +uid 11584,0 +) +*210 (MRCItem +litem &178 +pos 23 +dimension 20 +uid 11586,0 +) +*211 (MRCItem +litem &179 +pos 24 +dimension 20 +uid 11588,0 +) +*212 (MRCItem +litem &180 +pos 25 +dimension 20 +uid 11654,0 +) +*213 (MRCItem +litem &181 +pos 26 +dimension 20 +uid 11656,0 +) ] ) sheetCol (SheetCol @@ -4537,50 +5582,50 @@ textAngle 90 ) uid 5733,0 optionalChildren [ -*166 (MRCItem -litem &110 +*214 (MRCItem +litem &146 pos 0 dimension 20 uid 5734,0 ) -*167 (MRCItem -litem &112 +*215 (MRCItem +litem &148 pos 1 dimension 50 uid 5735,0 ) -*168 (MRCItem -litem &113 +*216 (MRCItem +litem &149 pos 2 dimension 100 uid 5736,0 ) -*169 (MRCItem -litem &114 +*217 (MRCItem +litem &150 pos 3 dimension 50 uid 5737,0 ) -*170 (MRCItem -litem &115 +*218 (MRCItem +litem &151 pos 4 dimension 100 uid 5738,0 ) -*171 (MRCItem -litem &116 +*219 (MRCItem +litem &152 pos 5 dimension 100 uid 5739,0 ) -*172 (MRCItem -litem &117 +*220 (MRCItem +litem &153 pos 6 dimension 50 uid 5740,0 ) -*173 (MRCItem -litem &118 +*221 (MRCItem +litem &154 pos 7 dimension 80 uid 5741,0 @@ -4600,41 +5645,41 @@ uid 5713,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *174 (LEmptyRow +emptyRow *222 (LEmptyRow ) uid 5743,0 optionalChildren [ -*175 (RefLabelRowHdr +*223 (RefLabelRowHdr ) -*176 (TitleRowHdr +*224 (TitleRowHdr ) -*177 (FilterRowHdr +*225 (FilterRowHdr ) -*178 (RefLabelColHdr +*226 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*179 (RowExpandColHdr +*227 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*180 (GroupColHdr +*228 (GroupColHdr tm "GroupColHdrMgr" ) -*181 (NameColHdr +*229 (NameColHdr tm "GenericNameColHdrMgr" ) -*182 (TypeColHdr +*230 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*183 (InitColHdr +*231 (InitColHdr tm "GenericValueColHdrMgr" ) -*184 (PragmaColHdr +*232 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*185 (EolColHdr +*233 (EolColHdr tm "GenericEolColHdrMgr" ) -*186 (LogGeneric +*234 (LogGeneric generic (GiElement name "position1" type "positive" @@ -4642,7 +5687,7 @@ value "32000" ) uid 7215,0 ) -*187 (LogGeneric +*235 (LogGeneric generic (GiElement name "position2" type "positive" @@ -4650,7 +5695,7 @@ value "64000" ) uid 7217,0 ) -*188 (LogGeneric +*236 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -4658,7 +5703,7 @@ value "16" ) uid 7816,0 ) -*189 (LogGeneric +*237 (LogGeneric generic (GiElement name "position0" type "positive" @@ -4666,7 +5711,7 @@ value "128" ) uid 8607,0 ) -*190 (LogGeneric +*238 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -4674,7 +5719,7 @@ value "6" ) uid 10209,0 ) -*191 (LogGeneric +*239 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -4687,7 +5732,7 @@ uid 10538,0 pdm (PhysicalDM uid 5755,0 optionalChildren [ -*192 (Sheet +*240 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -4704,64 +5749,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *193 (MRCItem -litem &174 +emptyMRCItem *241 (MRCItem +litem &222 pos 6 dimension 20 ) uid 5757,0 optionalChildren [ -*194 (MRCItem -litem &175 +*242 (MRCItem +litem &223 pos 0 dimension 20 uid 5758,0 ) -*195 (MRCItem -litem &176 +*243 (MRCItem +litem &224 pos 1 dimension 23 uid 5759,0 ) -*196 (MRCItem -litem &177 +*244 (MRCItem +litem &225 pos 2 hidden 1 dimension 20 uid 5760,0 ) -*197 (MRCItem -litem &186 +*245 (MRCItem +litem &234 pos 1 dimension 20 uid 7214,0 ) -*198 (MRCItem -litem &187 +*246 (MRCItem +litem &235 pos 2 dimension 20 uid 7216,0 ) -*199 (MRCItem -litem &188 +*247 (MRCItem +litem &236 pos 5 dimension 20 uid 7815,0 ) -*200 (MRCItem -litem &189 +*248 (MRCItem +litem &237 pos 0 dimension 20 uid 8606,0 ) -*201 (MRCItem -litem &190 +*249 (MRCItem +litem &238 pos 3 dimension 20 uid 10208,0 ) -*202 (MRCItem -litem &191 +*250 (MRCItem +litem &239 pos 4 dimension 20 uid 10537,0 @@ -4777,44 +5822,44 @@ textAngle 90 ) uid 5761,0 optionalChildren [ -*203 (MRCItem -litem &178 +*251 (MRCItem +litem &226 pos 0 dimension 20 uid 5762,0 ) -*204 (MRCItem -litem &180 +*252 (MRCItem +litem &228 pos 1 dimension 50 uid 5763,0 ) -*205 (MRCItem -litem &181 +*253 (MRCItem +litem &229 pos 2 dimension 100 uid 5764,0 ) -*206 (MRCItem -litem &182 +*254 (MRCItem +litem &230 pos 3 dimension 100 uid 5765,0 ) -*207 (MRCItem -litem &183 +*255 (MRCItem +litem &231 pos 4 dimension 50 uid 5766,0 ) -*208 (MRCItem -litem &184 +*256 (MRCItem +litem &232 pos 5 dimension 50 uid 5767,0 ) -*209 (MRCItem -litem &185 +*257 (MRCItem +litem &233 pos 6 dimension 80 uid 5768,0 diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index 8288ef8..ff94754 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -6208,7 +6208,7 @@ yPos 0 width 1552 height 936 activeSidePanelTab 2 -activeLibraryTab 1 +activeLibraryTab 3 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 569