diff --git a/.vscode/c_cpp_properties.json b/.vscode/c_cpp_properties.json new file mode 100644 index 0000000..f0aa866 --- /dev/null +++ b/.vscode/c_cpp_properties.json @@ -0,0 +1,21 @@ +{ + "configurations": [ + { + "name": "Win32", + "includePath": [ + "${workspaceFolder}/**" + ], + "defines": [ + "_DEBUG", + "UNICODE", + "_UNICODE" + ], + "windowsSdkVersion": "10.0.20348.0", + "compilerPath": "C:/Program Files/Microsoft Visual Studio/2022/Community/VC/Tools/MSVC/14.30.30705/bin/Hostx64/x64/cl.exe", + "cStandard": "c17", + "cppStandard": "c++17", + "intelliSenseMode": "windows-msvc-x64" + } + ], + "version": 4 +} \ No newline at end of file diff --git a/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf b/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@compteur/compteurt.bd b/Cursor/hds/@compteur/compteurt.bd new file mode 100644 index 0000000..fbac2f6 --- /dev/null +++ b/Cursor/hds/@compteur/compteurt.bd @@ -0,0 +1,3623 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +instances [ +(Instance +name "U_0" +duLibraryName "Cursor" +duName "compteurUpDownRsyncAll" +elements [ +] +mwi 0 +uid 205,0 +) +(Instance +name "U_1" +duLibraryName "gates" +duName "or2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 353,0 +) +(Instance +name "U_2" +duLibraryName "Cursor" +duName "convertissor_position" +elements [ +] +mwi 0 +uid 384,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "compteurt" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "Compteur" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "compteurt.bd" +) +(vvPair +variable "f_logical" +value "compteurt.bd" +) +(vvPair +variable "f_noext" +value "compteurt" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "16:00:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Compteur" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\compteurt.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\compteurt.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "compteurt" +) +(vvPair +variable "this_file_logical" +value "compteurt" +) +(vvPair +variable "time" +value "16:00:52" +) +(vvPair +variable "unit" +value "Compteur" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "compteurt" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 148,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "0,28625,1500,29375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "1500,29000,2000,29000" +pts [ +"1500,29000" +"2000,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-4400,28400,-1000,29600" +st "clock" +ju 2 +blo "-1000,29400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-3800,35500,-3000" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-6200,11400,-3000,12600" +st "down" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "down" +t "std_uLogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-3000,35500,-2200" +st "down : std_uLogic" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "63000,15400,67800,16600" +st "Position" +blo "63000,16400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 6 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-600,41000,200" +st "Position : unsigned(15 DOWNTO 0)" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "0,20625,1500,21375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "1500,21000,2000,21000" +pts [ +"1500,21000" +"2000,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-3700,20400,-1000,21600" +st "RaZ" +ju 2 +blo "-1000,21400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-4600,35500,-3800" +st "RaZ : std_ulogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "0,26625,1500,27375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "1500,27000,2000,27000" +pts [ +"1500,27000" +"2000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-4300,26400,-1000,27600" +st "reset" +ju 2 +blo "-1000,27400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-2200,35500,-1400" +st "reset : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,13625,-500,14375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,14000,0,14000" +pts [ +"-500,14000" +"0,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-4900,13400,-3000,14600" +st "up" +ju 2 +blo "-3000,14400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,-1400,35500,-600" +st "up : std_uLogic" +) +) +*13 (Grouping +uid 105,0 +optionalChildren [ +*14 (CommentText +uid 107,0 +shape (Rectangle +uid 108,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,48000,46000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 109,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,48000,38400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 110,0 +shape (Rectangle +uid 111,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,50000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 112,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,44000,49200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 113,0 +shape (Rectangle +uid 114,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,46000,46000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 115,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,46000,39200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 116,0 +shape (Rectangle +uid 117,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,29000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 118,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,46000,27300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 119,0 +shape (Rectangle +uid 120,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,45000,66000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 121,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,45200,55600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,44000,66000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 124,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "50200,44000,51800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,44000,46000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 127,0 +va (VaSet +fg "32768,0,0" +) +xt "30350,44400,40650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,29000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 130,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,47000,27300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,29000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,48000,27900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,47000,46000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,47000,40000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 106,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "25000,44000,66000,49000" +) +oxt "14000,66000,55000,71000" +) +*24 (Blk +uid 205,0 +shape (Rectangle +uid 206,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,11000,43000,36000" +) +ttg (MlTextGroup +uid 207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 208,0 +va (VaSet +font "Verdana,9,1" +) +xt "26600,14200,30300,15400" +st "Cursor" +blo "26600,15200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 209,0 +va (VaSet +font "Verdana,9,1" +) +xt "26600,15400,41500,16600" +st "compteurUpDownRsyncAll" +blo "26600,16400" +tm "BlkNameMgr" +) +*27 (Text +uid 210,0 +va (VaSet +font "Verdana,9,1" +) +xt "26600,16600,29100,17800" +st "U_0" +blo "26600,17600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 211,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 212,0 +text (MLText +uid 213,0 +va (VaSet +font "Courier New,8,0" +) +xt "26600,24200,26600,24200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,34250,26750,35750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +) +*28 (Net +uid 325,0 +lang 11 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 12,0 +) +declText (MLText +uid 326,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,4600,39000,5400" +st "SIGNAL upnotdown : std_uLogic" +) +) +*29 (Net +uid 327,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 7 +suid 13,0 +) +declText (MLText +uid 328,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,2200,39000,3000" +st "SIGNAL en : std_ulogic" +) +) +*30 (SaComponent +uid 353,0 +optionalChildren [ +*31 (CptPort +uid 341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "13537,9625,14287,10375" +) +tg (CPTG +uid 343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 344,0 +va (VaSet +isHidden 1 +) +xt "14509,9250,16809,10450" +st "in1" +blo "14509,10250" +) +s (Text +uid 363,0 +va (VaSet +isHidden 1 +) +xt "14509,10450,14509,10450" +blo "14509,10450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*32 (CptPort +uid 345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "13536,13625,14286,14375" +) +tg (CPTG +uid 347,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 348,0 +va (VaSet +isHidden 1 +) +xt "14509,13250,16809,14450" +st "in2" +blo "14509,14250" +) +s (Text +uid 364,0 +va (VaSet +isHidden 1 +) +xt "14509,14450,14509,14450" +blo "14509,14450" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*33 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "20000,11625,20750,12375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +isHidden 1 +) +xt "16000,11250,19000,12450" +st "out1" +ju 2 +blo "19000,12250" +) +s (Text +uid 365,0 +va (VaSet +isHidden 1 +) +xt "19000,12450,19000,12450" +ju 2 +blo "19000,12450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,9000,20000,15000" +) +showPorts 0 +oxt "35000,14000,42000,20000" +ttg (MlTextGroup +uid 355,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 356,0 +va (VaSet +font "Verdana,8,1" +) +xt "14600,14700,17700,15700" +st "gates" +blo "14600,15500" +tm "BdLibraryNameMgr" +) +*35 (Text +uid 357,0 +va (VaSet +font "Verdana,8,1" +) +xt "14600,15700,16800,16700" +st "or2" +blo "14600,16500" +tm "CptNameMgr" +) +*36 (Text +uid 358,0 +va (VaSet +font "Verdana,8,1" +) +xt "14600,16700,17100,17700" +st "U_1" +blo "14600,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 359,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 360,0 +text (MLText +uid 361,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "14000,17600,28100,18600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 362,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,13250,15750,14750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*37 (Blk +uid 384,0 +shape (Rectangle +uid 385,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "48000,13000,56000,23000" +) +ttg (MlTextGroup +uid 386,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 387,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,16200,53300,17400" +st "Cursor" +blo "49600,17200" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 388,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,17400,62100,18600" +st "convertissor_position" +blo "49600,18400" +tm "BlkNameMgr" +) +*40 (Text +uid 389,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,18600,52100,19800" +st "U_2" +blo "49600,19600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 390,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 391,0 +text (MLText +uid 392,0 +va (VaSet +font "Courier New,8,0" +) +xt "49600,26200,49600,26200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 393,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "48250,21250,49750,22750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*41 (Net +uid 394,0 +lang 11 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 10 +suid 16,0 +) +declText (MLText +uid 395,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,3000,44500,3800" +st "SIGNAL position20bit : integer(19 DOWNTO 0)" +) +) +*42 (Net +uid 404,0 +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 10 +suid 17,0 +) +declText (MLText +uid 405,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,1400,38000,2200" +st "SIGNAL clk : unsigned" +) +) +*43 (Net +uid 414,0 +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 11 +suid 18,0 +) +declText (MLText +uid 415,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,3800,38000,4600" +st "SIGNAL rst : unsigned" +) +) +*44 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "2000,29000,25000,29000" +pts [ +"2000,29000" +"25000,29000" +] +) +start &1 +end &24 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "4000,27800,7400,29000" +st "clock" +blo "4000,28800" +tm "WireNameMgr" +) +) +on &2 +) +*45 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,10000,14287,12000" +pts [ +"0,12000" +"10000,12000" +"10000,10000" +"14287,10000" +] +) +start &3 +end &31 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,5200,12000" +st "down" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &4 +) +*46 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "56000,16000,60000,16000" +pts [ +"60000,16000" +"56000,16000" +] +) +start &5 +end &37 +sat 32 +eat 2 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "59000,14800,68800,16000" +st "Position : (15:0)" +blo "59000,15800" +tm "WireNameMgr" +) +) +on &6 +) +*47 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "2000,21000,25000,21000" +pts [ +"2000,21000" +"25000,21000" +] +) +start &7 +end &24 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "4000,19800,6700,21000" +st "RaZ" +blo "4000,20800" +tm "WireNameMgr" +) +) +on &8 +) +*48 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "2000,27000,25000,27000" +pts [ +"2000,27000" +"25000,27000" +] +) +start &9 +end &24 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "4000,25800,7300,27000" +st "reset" +blo "4000,26800" +tm "WireNameMgr" +) +) +on &10 +) +*49 (Wire +uid 85,0 +optionalChildren [ +*50 (BdJunction +uid 374,0 +ps "OnConnectorStrategy" +shape (Circle +uid 375,0 +va (VaSet +vasetType 1 +) +xt "8600,13600,9400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,14000,14286,14000" +pts [ +"0,14000" +"14286,14000" +] +) +start &11 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,12800,3900,14000" +st "up" +blo "2000,13800" +tm "WireNameMgr" +) +) +on &12 +) +*51 (Wire +uid 277,0 +optionalChildren [ +*52 (BdJunction +uid 436,0 +ps "OnConnectorStrategy" +shape (Circle +uid 437,0 +va (VaSet +vasetType 1 +) +xt "22600,11600,23400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "20000,12000,25000,12000" +pts [ +"20000,12000" +"25000,12000" +] +) +start &33 +end &24 +ss 0 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 284,0 +va (VaSet +) +xt "21000,10800,22900,12000" +st "en" +blo "21000,11800" +tm "WireNameMgr" +) +) +on &29 +) +*53 (Wire +uid 317,0 +shape (OrthoPolyLine +uid 318,0 +va (VaSet +vasetType 3 +) +xt "9000,14000,25000,18000" +pts [ +"9000,14000" +"9000,18000" +"25000,18000" +] +) +start &50 +end &24 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 323,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 324,0 +va (VaSet +) +xt "16000,16800,22000,18000" +st "upnotdown" +blo "16000,17800" +tm "WireNameMgr" +) +) +on &28 +) +*54 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43000,16000,48000,16000" +pts [ +"43000,16000" +"48000,16000" +] +) +start &24 +end &37 +sat 2 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "43000,14800,56100,16000" +st "position20bit : (19:0)" +blo "43000,15800" +tm "WireNameMgr" +) +) +on &41 +) +*55 (Wire +uid 396,0 +shape (OrthoPolyLine +uid 397,0 +va (VaSet +vasetType 3 +) +xt "45000,21000,48000,21000" +pts [ +"45000,21000" +"48000,21000" +] +) +end &37 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +) +xt "46000,19800,48200,21000" +st "clk" +blo "46000,20800" +tm "WireNameMgr" +) +) +on &42 +) +*56 (Wire +uid 406,0 +shape (OrthoPolyLine +uid 407,0 +va (VaSet +vasetType 3 +) +xt "45000,22000,48000,22000" +pts [ +"45000,22000" +"48000,22000" +] +) +end &37 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 412,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 413,0 +va (VaSet +) +xt "46000,20800,48100,22000" +st "rst" +blo "46000,21800" +tm "WireNameMgr" +) +) +on &43 +) +*57 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "23000,8000,51000,13000" +pts [ +"23000,12000" +"23000,8000" +"51000,8000" +"51000,13000" +] +) +start &52 +end &37 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +ro 270 +va (VaSet +) +xt "49800,10000,51000,11900" +st "en" +blo "50800,11900" +tm "WireNameMgr" +) +) +on &29 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *58 (PackageList +uid 137,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 138,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-400,7600,800" +st "Package List" +blo "0,600" +) +*60 (MLText +uid 139,0 +va (VaSet +) +xt "0,800,19000,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 140,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*62 (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*63 (MLText +uid 143,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 144,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*65 (MLText +uid 145,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*66 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*67 (MLText +uid 147,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1537,936" +viewArea "-11271,-12235,88950,49627" +cachedDiagramExtent "-6200,-7000,68800,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,-49000" +lastUid 486,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*73 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*78 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*81 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*82 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*84 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*86 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*88 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "19000,-7000,26400,-5800" +st "Declarations" +blo "19000,-6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "19000,-5800,22700,-4600" +st "Ports:" +blo "19000,-4800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "19000,-7000,24200,-5800" +st "Pre User:" +blo "19000,-6000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "19000,-7000,19000,-7000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "19000,200,28500,1400" +st "Diagram Signals:" +blo "19000,1200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "19000,-7000,25400,-5800" +st "Post User:" +blo "19000,-6000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "19000,-7000,19000,-7000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 18,0 +usingSuid 1 +emptyRow *89 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*90 (RefLabelRowHdr +) +*91 (TitleRowHdr +) +*92 (FilterRowHdr +) +*93 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*94 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*95 (GroupColHdr +tm "GroupColHdrMgr" +) +*96 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*97 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*98 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*99 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*100 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*101 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*102 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 93,0 +) +*103 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 95,0 +) +*104 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "down" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 97,0 +) +*105 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 99,0 +) +*106 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 101,0 +) +*107 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 103,0 +) +*108 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 12,0 +) +) +uid 378,0 +) +*109 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 7 +suid 13,0 +) +) +uid 380,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 10 +suid 16,0 +) +) +uid 416,0 +) +*111 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk" +t "unsigned" +o 10 +suid 17,0 +) +) +uid 418,0 +) +*112 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst" +t "unsigned" +o 11 +suid 18,0 +) +) +uid 420,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*113 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *114 (MRCItem +litem &89 +pos 11 +dimension 20 +) +uid 165,0 +optionalChildren [ +*115 (MRCItem +litem &90 +pos 0 +dimension 20 +uid 166,0 +) +*116 (MRCItem +litem &91 +pos 1 +dimension 23 +uid 167,0 +) +*117 (MRCItem +litem &92 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*118 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 94,0 +) +*119 (MRCItem +litem &103 +pos 1 +dimension 20 +uid 96,0 +) +*120 (MRCItem +litem &104 +pos 2 +dimension 20 +uid 98,0 +) +*121 (MRCItem +litem &105 +pos 3 +dimension 20 +uid 100,0 +) +*122 (MRCItem +litem &106 +pos 4 +dimension 20 +uid 102,0 +) +*123 (MRCItem +litem &107 +pos 5 +dimension 20 +uid 104,0 +) +*124 (MRCItem +litem &108 +pos 6 +dimension 20 +uid 379,0 +) +*125 (MRCItem +litem &109 +pos 7 +dimension 20 +uid 381,0 +) +*126 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 417,0 +) +*127 (MRCItem +litem &111 +pos 9 +dimension 20 +uid 419,0 +) +*128 (MRCItem +litem &112 +pos 10 +dimension 20 +uid 421,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 169,0 +optionalChildren [ +*129 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 170,0 +) +*130 (MRCItem +litem &95 +pos 1 +dimension 50 +uid 171,0 +) +*131 (MRCItem +litem &96 +pos 2 +dimension 100 +uid 172,0 +) +*132 (MRCItem +litem &97 +pos 3 +dimension 50 +uid 173,0 +) +*133 (MRCItem +litem &98 +pos 4 +dimension 100 +uid 174,0 +) +*134 (MRCItem +litem &99 +pos 5 +dimension 100 +uid 175,0 +) +*135 (MRCItem +litem &100 +pos 6 +dimension 50 +uid 176,0 +) +*136 (MRCItem +litem &101 +pos 7 +dimension 80 +uid 177,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *137 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "GenericNameColHdrMgr" +) +*145 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*146 (InitColHdr +tm "GenericValueColHdrMgr" +) +*147 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*148 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 191,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *150 (MRCItem +litem &137 +pos 0 +dimension 20 +) +uid 193,0 +optionalChildren [ +*151 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 194,0 +) +*152 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 195,0 +) +*153 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 196,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*154 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 198,0 +) +*155 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 199,0 +) +*156 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 200,0 +) +*157 (MRCItem +litem &145 +pos 3 +dimension 100 +uid 201,0 +) +*158 (MRCItem +litem &146 +pos 4 +dimension 50 +uid 202,0 +) +*159 (MRCItem +litem &147 +pos 5 +dimension 50 +uid 203,0 +) +*160 (MRCItem +litem &148 +pos 6 +dimension 80 +uid 204,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 192,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/@compteur/interface b/Cursor/hds/@compteur/interface new file mode 100644 index 0000000..eb964c8 --- /dev/null +++ b/Cursor/hds/@compteur/interface @@ -0,0 +1,1652 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "down" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 89,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 91,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 82,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 84,0 +) +*27 (MRCItem +litem &16 +pos 5 +dimension 20 +uid 86,0 +) +*28 (MRCItem +litem &17 +pos 0 +dimension 20 +uid 88,0 +) +*29 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 90,0 +) +*30 (MRCItem +litem &19 +pos 4 +dimension 20 +uid 92,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 138,0 +optionalChildren [ +*53 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*54 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*55 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*56 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*57 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*59 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*60 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*61 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*62 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "Compteur" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:09:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Compteur" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@compteur\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Compteur\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:09:17" +) +(vvPair +variable "unit" +value "Compteur" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,27625,15000,28375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,27300,19800,28700" +st "clock" +blo "16000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,20300,13700" +st "down" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "down : IN std_uLogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "down" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,17625,31750,18375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "24200,17300,30000,18700" +st "Position" +ju 2 +blo "30000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,66000,7200" +st "Position : OUT unsigned (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*67 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,24625,15000,25375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,24300,19200,25700" +st "RaZ" +blo "16000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61000,3200" +st "RaZ : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*68 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,29625,15000,30375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,29300,20100,30700" +st "reset" +blo "16000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*69 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,18400,11700" +st "up" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "up : IN std_uLogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,33000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "20300,18300,24000,19500" +st "Cursor" +blo "20300,19300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "20300,19500,25700,20700" +st "Compteur" +blo "20300,20500" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-6500,15500,5000,16300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Position" +viewName "position.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 172,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@encoder/encoder.sm b/Cursor/hds/@encoder/encoder.sm index c027b9f..8e644eb 100644 --- a/Cursor/hds/@encoder/encoder.sm +++ b/Cursor/hds/@encoder/encoder.sm @@ -87,7 +87,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "day" @@ -99,7 +99,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "14" ) (vvPair variable "entity_name" @@ -127,7 +127,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "graphical_source_group" @@ -139,7 +139,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:40" +value "13:09:40" ) (vvPair variable "group" @@ -211,7 +211,7 @@ value "encoder" ) (vvPair variable "time" -value "15:59:40" +value "13:09:40" ) (vvPair variable "unit" @@ -239,6 +239,7 @@ value "21" ) ] ) +uid 320,0 optionalChildren [ *1 (ConcurrentSM uid 1,0 @@ -2549,8 +2550,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-17293,-8315,78035,49861" +windowSize "5,0,1542,926" +viewArea "-17300,-8300,78257,49954" cachedDiagramExtent "-506,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-3000,-2000" @@ -2926,11 +2927,12 @@ stateOrder [ name "csm" ) ] -lastUid 319,0 +lastUid 388,0 commonDM (CommonDM ldm (LogicalDM emptyRow *64 (LEmptyRow ) +uid 322,0 optionalChildren [ *65 (RefLabelRowHdr ) @@ -2988,7 +2990,7 @@ port (LogicalPort decl (Decl n "encoderA" t "std_uLogic" -o 1 +o 2 ) ) uid 156,0 @@ -2998,7 +3000,7 @@ port (LogicalPort decl (Decl n "encoderB" t "std_uLogic" -o 2 +o 3 ) ) uid 158,0 @@ -3008,7 +3010,7 @@ port (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 3 +o 1 ) ) uid 160,0 @@ -3021,7 +3023,7 @@ m 1 decl (Decl n "down" t "std_uLogic" -o 4 +o 5 ) ) uid 162,0 @@ -3032,7 +3034,7 @@ port (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 5 +o 4 ) ) uid 164,0 @@ -3056,6 +3058,7 @@ scheme 0 pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 341,0 optionalChildren [ *89 (Sheet sheetRow (SheetRow @@ -3079,44 +3082,48 @@ litem &64 pos 6 dimension 20 ) +uid 343,0 optionalChildren [ *91 (MRCItem litem &65 pos 0 dimension 20 +uid 344,0 ) *92 (MRCItem litem &66 pos 1 dimension 23 +uid 345,0 ) *93 (MRCItem litem &67 pos 2 hidden 1 dimension 20 +uid 346,0 ) *94 (MRCItem litem &83 -pos 0 +pos 2 dimension 20 uid 157,0 ) *95 (MRCItem litem &84 -pos 1 +pos 3 dimension 20 uid 159,0 ) *96 (MRCItem litem &85 -pos 2 +pos 0 dimension 20 uid 161,0 ) *97 (MRCItem litem &86 -pos 3 +pos 1 dimension 20 uid 163,0 ) @@ -3141,93 +3148,111 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 347,0 optionalChildren [ *100 (MRCItem litem &68 pos 0 dimension 20 +uid 348,0 ) *101 (MRCItem litem &70 pos 1 dimension 50 +uid 349,0 ) *102 (MRCItem litem &71 pos 2 dimension 70 +uid 350,0 ) *103 (MRCItem litem &72 pos 3 dimension 50 +uid 351,0 ) *104 (MRCItem litem &73 pos 4 dimension 80 +uid 352,0 ) *105 (MRCItem litem &74 pos 5 dimension 80 +uid 353,0 ) *106 (MRCItem litem &75 pos 6 dimension 40 +uid 354,0 ) *107 (MRCItem litem &76 pos 7 dimension 100 +uid 355,0 ) *108 (MRCItem litem &77 pos 8 dimension 60 +uid 356,0 ) *109 (MRCItem litem &78 pos 9 dimension 130 +uid 357,0 ) *110 (MRCItem litem &79 pos 10 dimension 56 +uid 358,0 ) *111 (MRCItem litem &80 pos 11 dimension 50 +uid 359,0 ) *112 (MRCItem litem &81 pos 12 dimension 50 +uid 360,0 ) *113 (MRCItem litem &82 pos 13 dimension 80 +uid 361,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" +uid 342,0 vaOverrides [ ] ) ] ) +uid 321,0 ) cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *114 (LEmptyRow ) +uid 363,0 optionalChildren [ *115 (RefLabelRowHdr ) @@ -3264,6 +3289,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 375,0 optionalChildren [ *126 (Sheet sheetRow (SheetRow @@ -3287,22 +3313,26 @@ litem &114 pos 0 dimension 20 ) +uid 377,0 optionalChildren [ *128 (MRCItem litem &115 pos 0 dimension 20 +uid 378,0 ) *129 (MRCItem litem &116 pos 1 dimension 23 +uid 379,0 ) *130 (MRCItem litem &117 pos 2 hidden 1 dimension 20 +uid 380,0 ) ] ) @@ -3313,52 +3343,62 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 381,0 optionalChildren [ *131 (MRCItem litem &118 pos 0 dimension 20 +uid 382,0 ) *132 (MRCItem litem &120 pos 1 dimension 50 +uid 383,0 ) *133 (MRCItem litem &121 pos 2 dimension 100 +uid 384,0 ) *134 (MRCItem litem &122 pos 3 dimension 100 +uid 385,0 ) *135 (MRCItem litem &123 pos 4 dimension 50 +uid 386,0 ) *136 (MRCItem litem &124 pos 5 dimension 50 +uid 387,0 ) *137 (MRCItem litem &125 pos 6 dimension 80 +uid 388,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" +uid 376,0 vaOverrides [ ] ) ] ) +uid 362,0 type 1 ) signalSuffix "_int" @@ -3939,7 +3979,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-371,972,-116,1278" +xt "-441,926,-116,1323" ) ] ) @@ -4014,7 +4054,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-415,1064,-76,1263" +xt "-425,943,-76,1304" ) (Line sl 0 diff --git a/Cursor/hds/@encoder/interface b/Cursor/hds/@encoder/interface index 0258797..08c7a9b 100644 --- a/Cursor/hds/@encoder/interface +++ b/Cursor/hds/@encoder/interface @@ -30,7 +30,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 6,0 +suid 12,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -75,10 +75,10 @@ decl (Decl n "clock" t "std_ulogic" o 1 -suid 1,0 +suid 7,0 ) ) -uid 81,0 +uid 364,0 ) *15 (LogPort port (LogicalPort @@ -87,10 +87,10 @@ decl (Decl n "down" t "std_uLogic" o 5 -suid 2,0 +suid 8,0 ) ) -uid 83,0 +uid 366,0 ) *16 (LogPort port (LogicalPort @@ -98,10 +98,10 @@ decl (Decl n "encoderA" t "std_uLogic" o 2 -suid 3,0 +suid 9,0 ) ) -uid 85,0 +uid 368,0 ) *17 (LogPort port (LogicalPort @@ -109,10 +109,10 @@ decl (Decl n "encoderB" t "std_uLogic" o 3 -suid 4,0 +suid 10,0 ) ) -uid 87,0 +uid 370,0 ) *18 (LogPort port (LogicalPort @@ -120,10 +120,10 @@ decl (Decl n "reset" t "std_ulogic" o 4 -suid 5,0 +suid 11,0 ) ) -uid 89,0 +uid 372,0 ) *19 (LogPort port (LogicalPort @@ -132,10 +132,10 @@ decl (Decl n "up" t "std_uLogic" o 6 -suid 6,0 +suid 12,0 ) ) -uid 91,0 +uid 374,0 ) ] ) @@ -189,39 +189,39 @@ uid 113,0 ) *25 (MRCItem litem &14 -pos 2 +pos 0 dimension 20 -uid 82,0 +uid 365,0 ) *26 (MRCItem litem &15 -pos 3 +pos 1 dimension 20 -uid 84,0 +uid 367,0 ) *27 (MRCItem litem &16 -pos 0 +pos 2 dimension 20 -uid 86,0 +uid 369,0 ) *28 (MRCItem litem &17 -pos 1 +pos 3 dimension 20 -uid 88,0 +uid 371,0 ) *29 (MRCItem litem &18 pos 4 dimension 20 -uid 90,0 +uid 373,0 ) *30 (MRCItem litem &19 pos 5 dimension 20 -uid 92,0 +uid 375,0 ) ] ) @@ -496,7 +496,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "day" @@ -508,7 +508,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "14" ) (vvPair variable "entity_name" @@ -536,7 +536,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "graphical_source_group" @@ -548,7 +548,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:59:41" +value "13:09:40" ) (vvPair variable "group" @@ -620,7 +620,7 @@ value "interface" ) (vvPair variable "time" -value "15:59:41" +value "13:09:40" ) (vvPair variable "unit" @@ -655,10 +655,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *64 (CptPort -uid 51,0 +uid 334,0 ps "OnEdgeStrategy" shape (Triangle -uid 52,0 +uid 335,0 ro 90 va (VaSet vasetType 1 @@ -667,11 +667,11 @@ fg "0,65535,0" xt "14250,16625,15000,17375" ) tg (CPTG -uid 53,0 +uid 336,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 54,0 +uid 337,0 va (VaSet font "Verdana,12,0" ) @@ -682,27 +682,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 55,0 +uid 338,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" o 1 -suid 1,0 +suid 7,0 ) ) ) *65 (CptPort -uid 56,0 +uid 339,0 ps "OnEdgeStrategy" shape (Triangle -uid 57,0 +uid 340,0 ro 90 va (VaSet vasetType 1 @@ -711,11 +712,11 @@ fg "0,65535,0" xt "23000,12625,23750,13375" ) tg (CPTG -uid 58,0 +uid 341,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 59,0 +uid 342,0 va (VaSet font "Verdana,12,0" ) @@ -727,12 +728,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 60,0 +uid 343,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "down : OUT std_uLogic ;" +st "down : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -740,15 +742,15 @@ decl (Decl n "down" t "std_uLogic" o 5 -suid 2,0 +suid 8,0 ) ) ) *66 (CptPort -uid 61,0 +uid 344,0 ps "OnEdgeStrategy" shape (Triangle -uid 62,0 +uid 345,0 ro 90 va (VaSet vasetType 1 @@ -757,11 +759,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 63,0 +uid 346,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 64,0 +uid 347,0 va (VaSet font "Verdana,12,0" ) @@ -772,27 +774,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 65,0 +uid 348,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderA" t "std_uLogic" o 2 -suid 3,0 +suid 9,0 ) ) ) *67 (CptPort -uid 66,0 +uid 349,0 ps "OnEdgeStrategy" shape (Triangle -uid 67,0 +uid 350,0 ro 90 va (VaSet vasetType 1 @@ -801,11 +804,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 68,0 +uid 351,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 69,0 +uid 352,0 va (VaSet font "Verdana,12,0" ) @@ -816,27 +819,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 70,0 +uid 353,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderB" t "std_uLogic" o 3 -suid 4,0 +suid 10,0 ) ) ) *68 (CptPort -uid 71,0 +uid 354,0 ps "OnEdgeStrategy" shape (Triangle -uid 72,0 +uid 355,0 ro 90 va (VaSet vasetType 1 @@ -845,11 +849,11 @@ fg "0,65535,0" xt "14250,18625,15000,19375" ) tg (CPTG -uid 73,0 +uid 356,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 74,0 +uid 357,0 va (VaSet font "Verdana,12,0" ) @@ -860,27 +864,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 75,0 +uid 358,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" o 4 -suid 5,0 +suid 11,0 ) ) ) *69 (CptPort -uid 76,0 +uid 359,0 ps "OnEdgeStrategy" shape (Triangle -uid 77,0 +uid 360,0 ro 90 va (VaSet vasetType 1 @@ -889,11 +894,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 78,0 +uid 361,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 79,0 +uid 362,0 va (VaSet font "Verdana,12,0" ) @@ -905,12 +910,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 80,0 +uid 363,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,60000,7200" -st "up : OUT std_uLogic " +st "up : OUT std_uLogic +" ) thePort (LogicalPort m 1 @@ -918,7 +924,7 @@ decl (Decl n "up" t "std_uLogic" o 6 -suid 6,0 +suid 12,0 ) ) ) @@ -1632,6 +1638,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 310,0 +lastUid 398,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position/interface index 3184011..61e39b1 100644 --- a/Cursor/hds/@position/interface +++ b/Cursor/hds/@position/interface @@ -506,7 +506,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "day" @@ -518,7 +518,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "14" ) (vvPair variable "entity_name" @@ -546,7 +546,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "graphical_source_group" @@ -558,7 +558,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:18:04" +value "16:00:58" ) (vvPair variable "group" @@ -630,7 +630,7 @@ value "interface" ) (vvPair variable "time" -value "15:18:04" +value "16:00:58" ) (vvPair variable "unit" @@ -1685,6 +1685,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 401,0 +lastUid 539,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/position.bd b/Cursor/hds/@position/position.bd index f65f987..b7a3835 100644 --- a/Cursor/hds/@position/position.bd +++ b/Cursor/hds/@position/position.bd @@ -22,58 +22,6 @@ unitName "NUMERIC_SIGNED" ] instances [ (Instance -name "U_0" -duLibraryName "sequential" -duName "counterUpDown" -elements [ -(GiElement -name "delay" -type "time" -value "gateDelay" -) -(GiElement -name "bitNb" -type "positive" -value "16" -) -] -mwi 0 -uid 380,0 -) -(Instance -name "U_1" -duLibraryName "sequential" -duName "counterEnableResetSync" -elements [ -(GiElement -name "bitNb" -type "positive" -value "8" -) -(GiElement -name "delay" -type "time" -value "gateDelay" -) -] -mwi 0 -uid 410,0 -) -(Instance -name "U_2" -duLibraryName "sequential" -duName "accumulator" -elements [ -(GiElement -name "bitNb" -type "positive" -value "8" -) -] -mwi 0 -uid 504,0 -) -(Instance name "U_3" duLibraryName "Cursor" duName "Encoder" @@ -82,6 +30,15 @@ elements [ mwi 0 uid 530,0 ) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "Compteur" +elements [ +] +mwi 0 +uid 629,0 +) ] libraryRefs [ "ieee" @@ -140,7 +97,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "day" @@ -152,7 +109,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "14" ) (vvPair variable "entity_name" @@ -180,7 +137,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "14.12.2021" ) (vvPair variable "graphical_source_group" @@ -192,7 +149,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:18:04" +value "16:00:58" ) (vvPair variable "group" @@ -264,7 +221,7 @@ value "position" ) (vvPair variable "time" -value "15:18:04" +value "16:00:58" ) (vvPair variable "unit" @@ -308,16 +265,16 @@ optionalChildren [ uid 11,0 sl 0 ro 270 -xt "-2000,30625,-500,31375" +xt "11000,31625,12500,32375" ) (Line uid 12,0 sl 0 ro 270 -xt "-500,31000,0,31000" +xt "12500,32000,13000,32000" pts [ -"-500,31000" -"0,31000" +"12500,32000" +"13000,32000" ] ) ] @@ -332,10 +289,10 @@ f (Text uid 14,0 va (VaSet ) -xt "-6400,30400,-3000,31600" +xt "6600,31400,10000,32600" st "clock" ju 2 -blo "-3000,31400" +blo "10000,32400" tm "WireNameMgr" ) ) @@ -354,8 +311,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,3200,34000,4000" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *3 (PortIoIn @@ -417,8 +373,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,4000,34000,4800" -st "encoderA : std_uLogic -" +st "encoderA : std_uLogic" ) ) *5 (PortIoIn @@ -480,8 +435,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,4800,34000,5600" -st "encoderB : std_uLogic -" +st "encoderB : std_uLogic" ) ) *7 (PortIoIn @@ -543,8 +497,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,5600,34000,6400" -st "encoderI : std_uLogic -" +st "encoderI : std_uLogic" ) ) *9 (Grouping @@ -894,16 +847,16 @@ optionalChildren [ uid 195,0 sl 0 ro 270 -xt "58500,1625,60000,2375" +xt "53500,21625,55000,22375" ) (Line uid 196,0 sl 0 ro 270 -xt "58000,2000,58500,2000" +xt "53000,22000,53500,22000" pts [ -"58000,2000" -"58500,2000" +"53000,22000" +"53500,22000" ] ) ] @@ -918,9 +871,9 @@ f (Text uid 198,0 va (VaSet ) -xt "61000,1500,65800,2700" +xt "56000,21500,60800,22700" st "Position" -blo "61000,2500" +blo "56000,22500" tm "WireNameMgr" ) ) @@ -941,8 +894,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,7200,39500,8000" -st "Position : unsigned(15 DOWNTO 0) -" +st "Position : unsigned(15 DOWNTO 0)" ) ) *22 (PortIoIn @@ -958,16 +910,16 @@ optionalChildren [ uid 209,0 sl 0 ro 270 -xt "-2000,25625,-500,26375" +xt "11000,28625,12500,29375" ) (Line uid 210,0 sl 0 ro 270 -xt "-500,26000,0,26000" +xt "12500,29000,13000,29000" pts [ -"-500,26000" -"0,26000" +"12500,29000" +"13000,29000" ] ) ] @@ -982,10 +934,10 @@ f (Text uid 212,0 va (VaSet ) -xt "-5700,25500,-3000,26700" +xt "7300,28500,10000,29700" st "RaZ" ju 2 -blo "-3000,26500" +blo "10000,29500" tm "WireNameMgr" ) ) @@ -1005,8 +957,7 @@ va (VaSet font "Courier New,8,0" ) xt "22000,2400,34000,3200" -st "RaZ : std_ulogic -" +st "RaZ : std_ulogic" ) ) *24 (PortIoIn @@ -1022,16 +973,16 @@ optionalChildren [ uid 225,0 sl 0 ro 270 -xt "-2000,32625,-500,33375" +xt "11000,33625,12500,34375" ) (Line uid 226,0 sl 0 ro 270 -xt "-500,33000,0,33000" +xt "12500,34000,13000,34000" pts [ -"-500,33000" -"0,33000" +"12500,34000" +"13000,34000" ] ) ] @@ -1046,10 +997,10 @@ f (Text uid 228,0 va (VaSet ) -xt "-6300,32500,-3000,33700" +xt "6700,33500,10000,34700" st "reset" ju 2 -blo "-3000,33500" +blo "10000,34500" tm "WireNameMgr" ) ) @@ -1068,864 +1019,10 @@ va (VaSet font "Courier New,8,0" ) xt "22000,6400,34000,7200" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) -*26 (SaComponent -uid 380,0 -optionalChildren [ -*27 (CptPort -uid 360,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 361,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "36250,20625,37000,21375" -) -tg (CPTG -uid 362,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 363,0 -va (VaSet -font "Verdana,12,0" -) -xt "38000,20300,41800,21700" -st "clock" -blo "38000,21500" -) -) -thePort (LogicalPort -decl (Decl -n "clock" -t "std_uLogic" -o 1 -suid 1,0 -) -) -) -*28 (CptPort -uid 364,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 365,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "53000,14625,53750,15375" -) -tg (CPTG -uid 366,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 367,0 -va (VaSet -font "Verdana,12,0" -) -xt "45400,14300,52000,15700" -st "countOut" -ju 2 -blo "52000,15500" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "countOut" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" -o 2 -suid 2,0 -) -) -) -*29 (CptPort -uid 368,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 369,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "36250,22625,37000,23375" -) -tg (CPTG -uid 370,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 371,0 -va (VaSet -font "Verdana,12,0" -) -xt "38000,22300,42100,23700" -st "reset" -blo "38000,23500" -) -) -thePort (LogicalPort -decl (Decl -n "reset" -t "std_uLogic" -o 3 -suid 3,0 -) -) -) -*30 (CptPort -uid 372,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 373,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "36250,16625,37000,17375" -) -tg (CPTG -uid 374,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 375,0 -va (VaSet -font "Verdana,12,0" -) -xt "38000,16300,42300,17700" -st "down" -blo "38000,17500" -) -) -thePort (LogicalPort -decl (Decl -n "down" -t "std_uLogic" -o 4 -suid 4,0 -) -) -) -*31 (CptPort -uid 376,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 377,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "36250,14625,37000,15375" -) -tg (CPTG -uid 378,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 379,0 -va (VaSet -font "Verdana,12,0" -) -xt "38000,14300,40400,15700" -st "up" -blo "38000,15500" -) -) -thePort (LogicalPort -decl (Decl -n "up" -t "std_uLogic" -o 5 -suid 5,0 -) -) -) -] -shape (Rectangle -uid 381,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "37000,11000,53000,25000" -) -oxt "28000,5000,44000,19000" -ttg (MlTextGroup -uid 382,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*32 (Text -uid 383,0 -va (VaSet -) -xt "37300,25400,43900,26600" -st "sequential" -blo "37300,26400" -tm "BdLibraryNameMgr" -) -*33 (Text -uid 384,0 -va (VaSet -) -xt "37300,26600,46600,27800" -st "counterUpDown" -blo "37300,27600" -tm "CptNameMgr" -) -*34 (Text -uid 385,0 -va (VaSet -) -xt "37300,27800,40100,29000" -st "U_0" -blo "37300,28800" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 386,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 387,0 -text (MLText -uid 388,0 -va (VaSet -) -xt "37000,29400,54800,31800" -st "delay = gateDelay ( time ) -bitNb = 16 ( positive ) -" -) -header "" -) -elements [ -(GiElement -name "delay" -type "time" -value "gateDelay" -) -(GiElement -name "bitNb" -type "positive" -value "16" -) -] -) -viewicon (ZoomableIcon -uid 389,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "37250,23250,38750,24750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -sTC 0 -sT 1 -) -archFileType "UNKNOWN" -) -*35 (SaComponent -uid 410,0 -optionalChildren [ -*36 (CptPort -uid 390,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 391,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "-16750,46625,-16000,47375" -) -tg (CPTG -uid 392,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 393,0 -va (VaSet -font "Verdana,12,0" -) -xt "-15000,46300,-11200,47700" -st "clock" -blo "-15000,47500" -) -) -thePort (LogicalPort -decl (Decl -n "clock" -t "std_ulogic" -o 1 -suid 1,0 -) -) -) -*37 (CptPort -uid 394,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 395,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "0,42625,750,43375" -) -tg (CPTG -uid 396,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 397,0 -va (VaSet -font "Verdana,12,0" -) -xt "-7600,42300,-1000,43700" -st "countOut" -ju 2 -blo "-1000,43500" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "countOut" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" -o 2 -suid 2,0 -) -) -) -*38 (CptPort -uid 398,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 399,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "-16750,48625,-16000,49375" -) -tg (CPTG -uid 400,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 401,0 -va (VaSet -font "Verdana,12,0" -) -xt "-15000,48300,-10900,49700" -st "reset" -blo "-15000,49500" -) -) -thePort (LogicalPort -decl (Decl -n "reset" -t "std_ulogic" -o 3 -suid 3,0 -) -) -) -*39 (CptPort -uid 402,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 403,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "-16750,42625,-16000,43375" -) -tg (CPTG -uid 404,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 405,0 -va (VaSet -font "Verdana,12,0" -) -xt "-15000,42300,-9900,43700" -st "enable" -blo "-15000,43500" -) -) -thePort (LogicalPort -decl (Decl -n "enable" -t "std_ulogic" -o 4 -suid 4,0 -) -) -) -*40 (CptPort -uid 406,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 407,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "-16750,40625,-16000,41375" -) -tg (CPTG -uid 408,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 409,0 -va (VaSet -font "Verdana,12,0" -) -xt "-15000,40300,-8000,41700" -st "resetSync" -blo "-15000,41500" -) -) -thePort (LogicalPort -decl (Decl -n "resetSync" -t "std_ulogic" -o 5 -suid 2005,0 -) -) -) -] -shape (Rectangle -uid 411,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "-16000,37000,0,51000" -) -oxt "30000,9000,46000,23000" -ttg (MlTextGroup -uid 412,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*41 (Text -uid 413,0 -va (VaSet -) -xt "-15700,51400,-9100,52600" -st "sequential" -blo "-15700,52400" -tm "BdLibraryNameMgr" -) -*42 (Text -uid 414,0 -va (VaSet -) -xt "-15700,52600,-1000,53800" -st "counterEnableResetSync" -blo "-15700,53600" -tm "CptNameMgr" -) -*43 (Text -uid 415,0 -va (VaSet -) -xt "-15700,53800,-12900,55000" -st "U_1" -blo "-15700,54800" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 416,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 417,0 -text (MLText -uid 418,0 -va (VaSet -) -xt "-16000,55400,1800,57800" -st "bitNb = 8 ( positive ) -delay = gateDelay ( time ) -" -) -header "" -) -elements [ -(GiElement -name "bitNb" -type "positive" -value "8" -) -(GiElement -name "delay" -type "time" -value "gateDelay" -) -] -) -viewicon (ZoomableIcon -uid 419,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "-15750,49250,-14250,50750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -sTC 0 -sT 1 -) -archFileType "UNKNOWN" -) -*44 (SaComponent -uid 504,0 -optionalChildren [ -*45 (CptPort -uid 480,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 481,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "16000,37625,16750,38375" -) -tg (CPTG -uid 482,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 483,0 -va (VaSet -) -xt "12500,37500,15000,38700" -st "acc" -ju 2 -blo "15000,38500" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "acc" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" -o 7 -suid 1,0 -) -) -) -*46 (CptPort -uid 484,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 485,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "7250,41625,8000,42375" -) -tg (CPTG -uid 486,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 487,0 -va (VaSet -) -xt "9000,41500,12200,42700" -st "clear" -blo "9000,42500" -) -) -thePort (LogicalPort -decl (Decl -n "clear" -t "std_ulogic" -o 8 -suid 2,0 -) -) -) -*47 (CptPort -uid 488,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 489,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "7250,43625,8000,44375" -) -tg (CPTG -uid 490,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 491,0 -va (VaSet -) -xt "9000,43500,12400,44700" -st "clock" -blo "9000,44500" -) -) -thePort (LogicalPort -decl (Decl -n "clock" -t "std_ulogic" -o 1 -suid 3,0 -) -) -) -*48 (CptPort -uid 492,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 493,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "7250,39625,8000,40375" -) -tg (CPTG -uid 494,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 495,0 -va (VaSet -) -xt "9000,39500,13000,40700" -st "enable" -blo "9000,40500" -) -) -thePort (LogicalPort -decl (Decl -n "enable" -t "std_ulogic" -o 5 -suid 4,0 -) -) -) -*49 (CptPort -uid 496,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 497,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "7250,44625,8000,45375" -) -tg (CPTG -uid 498,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 499,0 -va (VaSet -) -xt "9000,44500,12300,45700" -st "reset" -blo "9000,45500" -) -) -thePort (LogicalPort -decl (Decl -n "reset" -t "std_ulogic" -o 3 -suid 5,0 -) -) -) -*50 (CptPort -uid 500,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 501,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "7250,37625,8000,38375" -) -tg (CPTG -uid 502,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 503,0 -va (VaSet -) -xt "9000,37500,11900,38700" -st "step" -blo "9000,38500" -) -) -thePort (LogicalPort -decl (Decl -n "step" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" -o 6 -suid 6,0 -) -) -) -] -shape (Rectangle -uid 505,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "8000,37000,16000,47000" -) -oxt "15000,6000,23000,16000" -ttg (MlTextGroup -uid 506,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*51 (Text -uid 507,0 -va (VaSet -font "Verdana,8,1" -) -xt "8300,47000,14300,48000" -st "sequential" -blo "8300,47800" -tm "BdLibraryNameMgr" -) -*52 (Text -uid 508,0 -va (VaSet -font "Verdana,8,1" -) -xt "8300,48000,15200,49000" -st "accumulator" -blo "8300,48800" -tm "CptNameMgr" -) -*53 (Text -uid 509,0 -va (VaSet -font "Verdana,8,1" -) -xt "8300,49000,10800,50000" -st "U_2" -blo "8300,49800" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 510,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 511,0 -text (MLText -uid 512,0 -va (VaSet -font "Verdana,8,0" -) -xt "7000,50000,18700,51000" -st "bitNb = 8 ( positive ) -" -) -header "" -) -elements [ -(GiElement -name "bitNb" -type "positive" -value "8" -) -] -) -viewicon (ZoomableIcon -uid 513,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "8250,45250,9750,46750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -sTC 0 -sF 0 -) -archFileType "UNKNOWN" -) -*54 (Blk +*26 (Blk uid 530,0 shape (Rectangle uid 531,0 @@ -1943,7 +1040,7 @@ uid 532,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*55 (Text +*27 (Text uid 533,0 va (VaSet font "Verdana,9,1" @@ -1953,7 +1050,7 @@ st "Cursor" blo "15600,14200" tm "BdLibraryNameMgr" ) -*56 (Text +*28 (Text uid 534,0 va (VaSet font "Verdana,9,1" @@ -1963,7 +1060,7 @@ st "Encoder" blo "15600,15400" tm "BlkNameMgr" ) -*57 (Text +*29 (Text uid 535,0 va (VaSet font "Verdana,9,1" @@ -2006,7 +1103,7 @@ ftype 3 ) viewiconposition 0 ) -*58 (Net +*30 (Net uid 540,0 decl (Decl n "up" @@ -2020,11 +1117,10 @@ va (VaSet font "Courier New,8,0" ) xt "22000,10000,37500,10800" -st "SIGNAL up : std_uLogic -" +st "SIGNAL up : std_uLogic" ) ) -*59 (Net +*31 (Net uid 548,0 decl (Decl n "down" @@ -2038,26 +1134,107 @@ va (VaSet font "Courier New,8,0" ) xt "22000,9200,37500,10000" -st "SIGNAL down : std_uLogic -" +st "SIGNAL down : std_uLogic" ) ) -*60 (Wire +*32 (Blk +uid 629,0 +shape (Rectangle +uid 630,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "32000,10000,48000,37000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 631,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 632,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,13200,37300,14400" +st "Cursor" +blo "33600,14200" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 633,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,14400,39000,15600" +st "Compteur" +blo "33600,15400" +tm "BlkNameMgr" +) +*35 (Text +uid 634,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,15600,36100,16800" +st "U_4" +blo "33600,16600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 635,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 636,0 +text (MLText +uid 637,0 +va (VaSet +font "Courier New,8,0" +) +xt "33600,23200,33600,23200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 638,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "32250,35250,33750,36750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +) +*36 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 va (VaSet vasetType 3 ) -xt "0,31000,10000,31000" +xt "13000,32000,32000,32000" pts [ -"0,31000" -"10000,31000" +"13000,32000" +"32000,32000" ] ) start &1 +end &32 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -2070,15 +1247,15 @@ uid 20,0 va (VaSet isHidden 1 ) -xt "2000,29800,5400,31000" +xt "15000,30800,18400,32000" st "clock" -blo "2000,30800" +blo "15000,31800" tm "WireNameMgr" ) ) on &2 ) -*61 (Wire +*37 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 @@ -2092,7 +1269,7 @@ pts [ ] ) start &3 -end &54 +end &26 sat 32 eat 1 st 0 @@ -2115,7 +1292,7 @@ tm "WireNameMgr" ) on &4 ) -*62 (Wire +*38 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -2129,7 +1306,7 @@ pts [ ] ) start &5 -end &54 +end &26 sat 32 eat 1 st 0 @@ -2152,7 +1329,7 @@ tm "WireNameMgr" ) on &6 ) -*63 (Wire +*39 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -2188,7 +1365,7 @@ tm "WireNameMgr" ) on &8 ) -*64 (Wire +*40 (Wire uid 199,0 shape (OrthoPolyLine uid 200,0 @@ -2196,14 +1373,15 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "48000,2000,58000,2000" +xt "48000,22000,53000,22000" pts [ -"48000,2000" -"58000,2000" +"48000,22000" +"53000,22000" ] ) +start &32 end &20 -sat 16 +sat 2 eat 32 sty 1 st 0 @@ -2218,30 +1396,31 @@ uid 204,0 va (VaSet isHidden 1 ) -xt "50000,800,59800,2000" +xt "45000,20800,54800,22000" st "Position : (15:0)" -blo "50000,1800" +blo "45000,21800" tm "WireNameMgr" ) ) on &21 ) -*65 (Wire +*41 (Wire uid 213,0 shape (OrthoPolyLine uid 214,0 va (VaSet vasetType 3 ) -xt "0,26000,10000,26000" +xt "13000,29000,32000,29000" pts [ -"0,26000" -"10000,26000" +"13000,29000" +"32000,29000" ] ) start &22 +end &32 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -2254,30 +1433,31 @@ uid 218,0 va (VaSet isHidden 1 ) -xt "2000,24800,4700,26000" +xt "15000,27800,17700,29000" st "RaZ" -blo "2000,25800" +blo "15000,28800" tm "WireNameMgr" ) ) on &23 ) -*66 (Wire +*42 (Wire uid 229,0 shape (OrthoPolyLine uid 230,0 va (VaSet vasetType 3 ) -xt "0,33000,10000,33000" +xt "13000,34000,32000,34000" pts [ -"0,33000" -"10000,33000" +"13000,34000" +"32000,34000" ] ) start &24 +end &32 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -2290,100 +1470,30 @@ uid 234,0 va (VaSet isHidden 1 ) -xt "2000,31800,5300,33000" +xt "15000,32800,18300,34000" st "reset" -blo "2000,32800" +blo "15000,33800" tm "WireNameMgr" ) ) on &25 ) -*67 (Wire -uid 516,0 -shape (OrthoPolyLine -uid 517,0 -va (VaSet -vasetType 3 -) -xt "32000,21000,36250,21000" -pts [ -"36250,21000" -"32000,21000" -] -) -start &27 -sat 32 -eat 16 -stc 0 -sf 1 -si 0 -tg (WTG -uid 520,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 521,0 -va (VaSet -) -xt "32250,19800,35650,21000" -st "clock" -blo "32250,20800" -tm "WireNameMgr" -) -) -on &2 -) -*68 (Wire -uid 524,0 -shape (OrthoPolyLine -uid 525,0 -va (VaSet -vasetType 3 -) -xt "32000,23000,36250,23000" -pts [ -"36250,23000" -"32000,23000" -] -) -start &29 -sat 32 -eat 16 -stc 0 -sf 1 -si 0 -tg (WTG -uid 528,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 529,0 -va (VaSet -) -xt "32250,21800,35550,23000" -st "reset" -blo "32250,22800" -tm "WireNameMgr" -) -) -on &25 -) -*69 (Wire +*43 (Wire uid 542,0 shape (OrthoPolyLine uid 543,0 va (VaSet vasetType 3 ) -xt "22000,15000,36250,15000" +xt "22000,15000,32000,15000" pts [ -"36250,15000" +"32000,15000" "22000,15000" ] ) -start &31 -end &54 -sat 32 +start &32 +end &26 +sat 1 eat 2 stc 0 sf 1 @@ -2396,30 +1506,30 @@ f (Text uid 547,0 va (VaSet ) -xt "33250,13800,35150,15000" +xt "29250,13800,31150,15000" st "up" -blo "33250,14800" +blo "29250,14800" tm "WireNameMgr" ) ) -on &58 +on &30 ) -*70 (Wire +*44 (Wire uid 550,0 shape (OrthoPolyLine uid 551,0 va (VaSet vasetType 3 ) -xt "22000,17000,36250,17000" +xt "22000,17000,32000,17000" pts [ -"36250,17000" +"32000,17000" "22000,17000" ] ) -start &30 -end &54 -sat 32 +start &32 +end &26 +sat 1 eat 2 stc 0 sf 1 @@ -2432,15 +1542,15 @@ f (Text uid 555,0 va (VaSet ) -xt "32250,15800,35450,17000" +xt "28250,15800,31450,17000" st "down" -blo "32250,16800" +blo "28250,16800" tm "WireNameMgr" ) ) -on &59 +on &31 ) -*71 (Wire +*45 (Wire uid 556,0 shape (OrthoPolyLine uid 557,0 @@ -2453,7 +1563,7 @@ pts [ "9000,21000" ] ) -start &54 +start &26 sat 1 eat 16 stc 0 @@ -2475,7 +1585,7 @@ tm "WireNameMgr" ) on &2 ) -*72 (Wire +*46 (Wire uid 564,0 shape (OrthoPolyLine uid 565,0 @@ -2488,7 +1598,7 @@ pts [ "9000,23000" ] ) -start &54 +start &26 sat 1 eat 16 stc 0 @@ -2522,11 +1632,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *73 (PackageList +packageList *47 (PackageList uid 121,0 stg "VerticalLayoutStrategy" textVec [ -*74 (Text +*48 (Text uid 122,0 va (VaSet font "Verdana,9,1" @@ -2535,7 +1645,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*75 (MLText +*49 (MLText uid 123,0 va (VaSet ) @@ -2554,7 +1664,7 @@ compDirBlock (MlTextGroup uid 124,0 stg "VerticalLayoutStrategy" textVec [ -*76 (Text +*50 (Text uid 125,0 va (VaSet isHidden 1 @@ -2564,7 +1674,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*77 (Text +*51 (Text uid 126,0 va (VaSet isHidden 1 @@ -2574,7 +1684,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*78 (MLText +*52 (MLText uid 127,0 va (VaSet isHidden 1 @@ -2584,7 +1694,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*79 (Text +*53 (Text uid 128,0 va (VaSet isHidden 1 @@ -2594,7 +1704,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*80 (MLText +*54 (MLText uid 129,0 va (VaSet isHidden 1 @@ -2602,7 +1712,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*81 (Text +*55 (Text uid 130,0 va (VaSet isHidden 1 @@ -2612,7 +1722,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*82 (MLText +*56 (MLText uid 131,0 va (VaSet isHidden 1 @@ -2623,12 +1733,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-23735,-1472,73711,57997" -cachedDiagramExtent "-16750,0,65800,57800" +windowSize "5,0,1542,920" +viewArea "-23700,-7198,73166,51410" +cachedDiagramExtent "-8500,0,64000,49000" hasePageBreakOrigin 1 -pageBreakOrigin "-9000,0" -lastUid 575,0 +pageBreakOrigin "-82000,0" +lastUid 642,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2718,7 +1828,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*83 (Text +*57 (Text va (VaSet font "Verdana,9,1" ) @@ -2727,7 +1837,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*84 (Text +*58 (Text va (VaSet font "Verdana,9,1" ) @@ -2736,7 +1846,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*85 (Text +*59 (Text va (VaSet font "Verdana,9,1" ) @@ -2787,7 +1897,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*86 (Text +*60 (Text va (VaSet font "Verdana,9,1" ) @@ -2795,7 +1905,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*87 (Text +*61 (Text va (VaSet font "Verdana,9,1" ) @@ -2803,7 +1913,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*88 (Text +*62 (Text va (VaSet font "Verdana,9,1" ) @@ -2852,7 +1962,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*89 (Text +*63 (Text va (VaSet font "Verdana,9,1" ) @@ -2861,7 +1971,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*90 (Text +*64 (Text va (VaSet font "Verdana,9,1" ) @@ -2870,7 +1980,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*91 (Text +*65 (Text va (VaSet font "Verdana,9,1" ) @@ -2924,7 +2034,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*92 (Text +*66 (Text va (VaSet font "Verdana,9,1" ) @@ -2932,7 +2042,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*93 (Text +*67 (Text va (VaSet font "Verdana,9,1" ) @@ -2940,7 +2050,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*94 (Text +*68 (Text va (VaSet font "Verdana,9,1" ) @@ -2985,7 +2095,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*95 (Text +*69 (Text va (VaSet font "Verdana,9,1" ) @@ -2993,7 +2103,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*96 (Text +*70 (Text va (VaSet font "Verdana,9,1" ) @@ -3001,7 +2111,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*97 (Text +*71 (Text va (VaSet font "Verdana,9,1" ) @@ -3042,7 +2152,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*98 (Text +*72 (Text va (VaSet font "Verdana,9,1" ) @@ -3051,7 +2161,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*99 (Text +*73 (Text va (VaSet font "Verdana,9,1" ) @@ -3454,7 +2564,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*100 (Text +*74 (Text va (VaSet font "Verdana,9,1" ) @@ -3462,7 +2572,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*101 (MLText +*75 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3514,7 +2624,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*102 (Text +*76 (Text va (VaSet font "Verdana,9,1" ) @@ -3522,7 +2632,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*103 (MLText +*77 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3670,44 +2780,44 @@ commonDM (CommonDM ldm (LogicalDM suid 12,0 usingSuid 1 -emptyRow *104 (LEmptyRow +emptyRow *78 (LEmptyRow ) uid 134,0 optionalChildren [ -*105 (RefLabelRowHdr +*79 (RefLabelRowHdr ) -*106 (TitleRowHdr +*80 (TitleRowHdr ) -*107 (FilterRowHdr +*81 (FilterRowHdr ) -*108 (RefLabelColHdr +*82 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*109 (RowExpandColHdr +*83 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*110 (GroupColHdr +*84 (GroupColHdr tm "GroupColHdrMgr" ) -*111 (NameColHdr +*85 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*112 (ModeColHdr +*86 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*113 (TypeColHdr +*87 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*114 (BoundsColHdr +*88 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*115 (InitColHdr +*89 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*116 (EolColHdr +*90 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*117 (LeafLogPort +*91 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -3718,7 +2828,7 @@ suid 2,0 ) uid 79,0 ) -*118 (LeafLogPort +*92 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -3729,7 +2839,7 @@ suid 3,0 ) uid 81,0 ) -*119 (LeafLogPort +*93 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -3740,7 +2850,7 @@ suid 4,0 ) uid 83,0 ) -*120 (LeafLogPort +*94 (LeafLogPort port (LogicalPort decl (Decl n "clock" @@ -3751,7 +2861,7 @@ suid 1,0 ) uid 85,0 ) -*121 (LeafLogPort +*95 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3765,7 +2875,7 @@ suid 6,0 ) uid 190,0 ) -*122 (LeafLogPort +*96 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3777,7 +2887,7 @@ suid 7,0 ) uid 192,0 ) -*123 (LeafLogPort +*97 (LeafLogPort port (LogicalPort decl (Decl n "reset" @@ -3788,7 +2898,7 @@ suid 8,0 ) uid 222,0 ) -*124 (LeafLogPort +*98 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -3800,7 +2910,7 @@ suid 11,0 ) uid 572,0 ) -*125 (LeafLogPort +*99 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -3819,7 +2929,7 @@ displayShortBounds 1 editShortBounds 1 uid 147,0 optionalChildren [ -*126 (Sheet +*100 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3836,82 +2946,82 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *127 (MRCItem -litem &104 +emptyMRCItem *101 (MRCItem +litem &78 pos 9 dimension 20 ) uid 149,0 optionalChildren [ -*128 (MRCItem -litem &105 +*102 (MRCItem +litem &79 pos 0 dimension 20 uid 150,0 ) -*129 (MRCItem -litem &106 +*103 (MRCItem +litem &80 pos 1 dimension 23 uid 151,0 ) -*130 (MRCItem -litem &107 +*104 (MRCItem +litem &81 pos 2 hidden 1 dimension 20 uid 152,0 ) -*131 (MRCItem -litem &117 +*105 (MRCItem +litem &91 pos 1 dimension 20 uid 80,0 ) -*132 (MRCItem -litem &118 +*106 (MRCItem +litem &92 pos 2 dimension 20 uid 82,0 ) -*133 (MRCItem -litem &119 +*107 (MRCItem +litem &93 pos 3 dimension 20 uid 84,0 ) -*134 (MRCItem -litem &120 +*108 (MRCItem +litem &94 pos 0 dimension 20 uid 86,0 ) -*135 (MRCItem -litem &121 +*109 (MRCItem +litem &95 pos 4 dimension 20 uid 189,0 ) -*136 (MRCItem -litem &122 +*110 (MRCItem +litem &96 pos 5 dimension 20 uid 191,0 ) -*137 (MRCItem -litem &123 +*111 (MRCItem +litem &97 pos 6 dimension 20 uid 221,0 ) -*138 (MRCItem -litem &124 +*112 (MRCItem +litem &98 pos 7 dimension 20 uid 573,0 ) -*139 (MRCItem -litem &125 +*113 (MRCItem +litem &99 pos 8 dimension 20 uid 575,0 @@ -3927,50 +3037,50 @@ textAngle 90 ) uid 153,0 optionalChildren [ -*140 (MRCItem -litem &108 +*114 (MRCItem +litem &82 pos 0 dimension 20 uid 154,0 ) -*141 (MRCItem -litem &110 +*115 (MRCItem +litem &84 pos 1 dimension 50 uid 155,0 ) -*142 (MRCItem -litem &111 +*116 (MRCItem +litem &85 pos 2 dimension 100 uid 156,0 ) -*143 (MRCItem -litem &112 +*117 (MRCItem +litem &86 pos 3 dimension 50 uid 157,0 ) -*144 (MRCItem -litem &113 +*118 (MRCItem +litem &87 pos 4 dimension 100 uid 158,0 ) -*145 (MRCItem -litem &114 +*119 (MRCItem +litem &88 pos 5 dimension 100 uid 159,0 ) -*146 (MRCItem -litem &115 +*120 (MRCItem +litem &89 pos 6 dimension 50 uid 160,0 ) -*147 (MRCItem -litem &116 +*121 (MRCItem +litem &90 pos 7 dimension 80 uid 161,0 @@ -3990,38 +3100,38 @@ uid 133,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *148 (LEmptyRow +emptyRow *122 (LEmptyRow ) uid 163,0 optionalChildren [ -*149 (RefLabelRowHdr +*123 (RefLabelRowHdr ) -*150 (TitleRowHdr +*124 (TitleRowHdr ) -*151 (FilterRowHdr +*125 (FilterRowHdr ) -*152 (RefLabelColHdr +*126 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*153 (RowExpandColHdr +*127 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*154 (GroupColHdr +*128 (GroupColHdr tm "GroupColHdrMgr" ) -*155 (NameColHdr +*129 (NameColHdr tm "GenericNameColHdrMgr" ) -*156 (TypeColHdr +*130 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*157 (InitColHdr +*131 (InitColHdr tm "GenericValueColHdrMgr" ) -*158 (PragmaColHdr +*132 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*159 (EolColHdr +*133 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -4031,7 +3141,7 @@ displayShortBounds 1 editShortBounds 1 uid 175,0 optionalChildren [ -*160 (Sheet +*134 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -4048,27 +3158,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *161 (MRCItem -litem &148 +emptyMRCItem *135 (MRCItem +litem &122 pos 0 dimension 20 ) uid 177,0 optionalChildren [ -*162 (MRCItem -litem &149 +*136 (MRCItem +litem &123 pos 0 dimension 20 uid 178,0 ) -*163 (MRCItem -litem &150 +*137 (MRCItem +litem &124 pos 1 dimension 23 uid 179,0 ) -*164 (MRCItem -litem &151 +*138 (MRCItem +litem &125 pos 2 hidden 1 dimension 20 @@ -4085,44 +3195,44 @@ textAngle 90 ) uid 181,0 optionalChildren [ -*165 (MRCItem -litem &152 +*139 (MRCItem +litem &126 pos 0 dimension 20 uid 182,0 ) -*166 (MRCItem -litem &154 +*140 (MRCItem +litem &128 pos 1 dimension 50 uid 183,0 ) -*167 (MRCItem -litem &155 +*141 (MRCItem +litem &129 pos 2 dimension 100 uid 184,0 ) -*168 (MRCItem -litem &156 +*142 (MRCItem +litem &130 pos 3 dimension 100 uid 185,0 ) -*169 (MRCItem -litem &157 +*143 (MRCItem +litem &131 pos 4 dimension 50 uid 186,0 ) -*170 (MRCItem -litem &158 +*144 (MRCItem +litem &132 pos 5 dimension 50 uid 187,0 ) -*171 (MRCItem -litem &159 +*145 (MRCItem +litem &133 pos 6 dimension 80 uid 188,0 diff --git a/Cursor/hds/_compteur._epf b/Cursor/hds/_compteur._epf new file mode 100644 index 0000000..029b6c7 --- /dev/null +++ b/Cursor/hds/_compteur._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom compteurt +DEFAULT_FILE atom @compteur/compteurt.bd diff --git a/Cursor/hds/_compteurupdownrsyncall._epf b/Cursor/hds/_compteurupdownrsyncall._epf new file mode 100644 index 0000000..fc18b00 --- /dev/null +++ b/Cursor/hds/_compteurupdownrsyncall._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom compteur@up@down@rsync@all/struct.bd diff --git a/Cursor/hds/_convertissor_position._epf b/Cursor/hds/_convertissor_position._epf new file mode 100644 index 0000000..578877b --- /dev/null +++ b/Cursor/hds/_convertissor_position._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom convertissor_position/fsm.sm diff --git a/Cursor/hds/_cpt1bit._epf b/Cursor/hds/_cpt1bit._epf new file mode 100644 index 0000000..9f6cde7 --- /dev/null +++ b/Cursor/hds/_cpt1bit._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cpt1bit/struct.bd diff --git a/Cursor/hds/_cpt4bit._epf b/Cursor/hds/_cpt4bit._epf new file mode 100644 index 0000000..59e8d18 --- /dev/null +++ b/Cursor/hds/_cpt4bit._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cpt4bit/struct.bd diff --git a/Cursor/hds/compteur@up@down@rsync@all/interface b/Cursor/hds/compteur@up@down@rsync@all/interface new file mode 100644 index 0000000..020a1d0 --- /dev/null +++ b/Cursor/hds/compteur@up@down@rsync@all/interface @@ -0,0 +1,1654 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 19,0 +) +) +uid 379,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 7 +suid 20,0 +) +) +uid 381,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 10 +suid 21,0 +) +) +uid 383,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 22,0 +) +) +uid 385,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 23,0 +) +) +uid 387,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 24,0 +) +) +uid 389,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 380,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 382,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 384,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 386,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 388,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 390,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 138,0 +optionalChildren [ +*53 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*54 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*55 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*56 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*57 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*59 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*60 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*61 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*62 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "14:51:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:51:42" +) +(vvPair +variable "unit" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,23625,15000,24375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,23300,19800,24700" +st "clock" +blo "16000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,63500,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 19,0 +) +) +) +*65 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 357,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,18400,7700" +st "en" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,63500,4800" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 7 +suid 20,0 +) +) +) +*66 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,10625,33750,11375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +font "Verdana,12,0" +) +xt "22200,10300,32000,11700" +st "position20bit" +ju 2 +blo "32000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,68000,7200" +st "position20bit : OUT integer (19 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 10 +suid 21,0 +) +) +) +*67 (CptPort +uid 364,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 365,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,15625,15000,16375" +) +tg (CPTG +uid 366,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 367,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,15300,19200,16700" +st "RaZ" +blo "16000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 368,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,63500,3200" +st "RaZ : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 22,0 +) +) +) +*68 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,21625,15000,22375" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 372,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,21300,20100,22700" +st "reset" +blo "16000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 373,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,63500,5600" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 23,0 +) +) +) +*69 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,24000,13700" +st "upnotdown" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,63500,6400" +st "upnotdown : IN std_uLogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,31000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16550,17300,20250,18500" +st "Cursor" +blo "16550,18300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16550,18500,31450,19700" +st "compteurUpDownRsyncAll" +blo "16550,19500" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-5500,14500,6000,15300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Compteur" +viewName "compteurt.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 390,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/compteur@up@down@rsync@all/struct.bd b/Cursor/hds/compteur@up@down@rsync@all/struct.bd new file mode 100644 index 0000000..d3a0ff8 --- /dev/null +++ b/Cursor/hds/compteur@up@down@rsync@all/struct.bd @@ -0,0 +1,6089 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +instances [ +(Instance +name "U_2" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 773,0 +) +(Instance +name "U_3" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 821,0 +) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 901,0 +) +(Instance +name "U_5" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 975,0 +) +(Instance +name "U_6" +duLibraryName "Cursor" +duName "cpt4bit" +elements [ +] +mwi 0 +uid 1049,0 +) +(Instance +name "U_0" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 1131,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "14:19:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteur@up@down@rsync@all\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\compteurUpDownRsyncAll\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:19:51" +) +(vvPair +variable "unit" +value "compteurUpDownRsyncAll" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 148,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,32625,-500,33375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,33000,0,33000" +pts [ +"-500,33000" +"0,33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,32400,-3000,33600" +st "clock" +ju 2 +blo "-3000,33400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34500,4000" +st "clock : std_ulogic +" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "63000,7400,66800,8600" +st "dbus0" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "dbus0" +t "integer" +b "(19 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,39500,7200" +st "dbus0 : integer(19 DOWNTO 0) +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-4900,11400,-3000,12600" +st "en" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34500,4800" +st "en : std_ulogic +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-5700,15400,-3000,16600" +st "RaZ" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,34500,3200" +st "RaZ : std_ulogic +" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,30625,-500,31375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,31000,0,31000" +pts [ +"-500,31000" +"0,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-6300,30400,-3000,31600" +st "reset" +ju 2 +blo "-3000,31400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34500,5600" +st "reset : std_ulogic +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-9000,23400,-3000,24600" +st "upnotdown" +ju 2 +blo "-3000,24400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34500,6400" +st "upnotdown : std_uLogic +" +) +) +*13 (Grouping +uid 105,0 +optionalChildren [ +*14 (CommentText +uid 113,0 +shape (Rectangle +uid 114,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "26000,46000,43000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 115,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "26200,46000,36200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 110,0 +shape (Rectangle +uid 111,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "43000,44000,47000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 112,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "43200,44000,46200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 107,0 +shape (Rectangle +uid 108,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "26000,48000,43000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 109,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "26200,48000,35400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "26000,47000,43000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 136,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "26200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "22000,48000,26000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 133,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "22200,48000,24900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "22000,47000,26000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 130,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "22200,47000,24300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 116,0 +shape (Rectangle +uid 117,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "22000,46000,26000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 118,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "22200,46000,24300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "47000,44000,63000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 124,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "47200,44000,48800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 119,0 +shape (Rectangle +uid 120,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "43000,45000,63000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 121,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "43200,45200,52600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "22000,44000,43000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 127,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "27350,44400,37650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 106,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "22000,44000,63000,49000" +) +oxt "14000,66000,55000,71000" +) +*24 (Net +uid 267,0 +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 8 +suid 13,0 +) +declText (MLText +uid 268,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10000,38000,10800" +st "SIGNAL up : std_ulogic +" +) +) +*25 (Net +uid 301,0 +lang 11 +decl (Decl +n "RCOi" +t "std_ulogic" +o 10 +suid 18,0 +) +declText (MLText +uid 302,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8400,38000,9200" +st "SIGNAL RCOi : std_ulogic +" +) +) +*26 (Net +uid 727,0 +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 9 +suid 21,0 +) +declText (MLText +uid 728,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9200,38000,10000" +st "SIGNAL eni : std_ulogic +" +) +) +*27 (SaComponent +uid 773,0 +optionalChildren [ +*28 (CptPort +uid 745,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 746,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,28625,27000,29375" +) +tg (CPTG +uid 747,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 748,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,28300,31800,29700" +st "clock" +blo "28000,29500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*29 (CptPort +uid 749,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 750,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,33000,34375,33750" +) +tg (CPTG +uid 751,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 752,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,29300,34700,32000" +st "eni" +blo "34500,32000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*30 (CptPort +uid 753,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 754,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,24625,40750,25375" +) +tg (CPTG +uid 755,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 756,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,24300,39000,25700" +st "Qi" +ju 2 +blo "39000,25500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*31 (CptPort +uid 757,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 758,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,18625,27000,19375" +) +tg (CPTG +uid 759,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 760,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,18300,31200,19700" +st "RaZ" +blo "28000,19500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*32 (CptPort +uid 761,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 762,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,15250,34375,16000" +) +tg (CPTG +uid 763,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 764,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,17000,34700,20800" +st "RCOi" +ju 2 +blo "34500,17000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +*33 (CptPort +uid 765,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 766,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,29625,27000,30375" +) +tg (CPTG +uid 767,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 768,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,29300,32100,30700" +st "reset" +blo "28000,30500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*34 (CptPort +uid 769,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 770,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,16625,27000,17375" +) +tg (CPTG +uid 771,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,16300,30400,17700" +st "up" +blo "28000,17500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 774,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,16000,40000,33000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 775,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 776,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,22800,33600,24000" +st "Cursor" +blo "29900,23800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 777,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,24000,34100,25200" +st "cpt4bit" +blo "29900,25000" +tm "CptNameMgr" +) +*37 (Text +uid 778,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,25200,32400,26400" +st "U_2" +blo "29900,26200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 779,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 780,0 +text (MLText +uid 781,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,17000,1500,17000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 782,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,31250,28750,32750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*38 (SaComponent +uid 821,0 +optionalChildren [ +*39 (CptPort +uid 831,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 832,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,48625,27000,49375" +) +tg (CPTG +uid 833,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 834,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,48300,31800,49700" +st "clock" +blo "28000,49500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*40 (CptPort +uid 835,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 836,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,53000,34375,53750" +) +tg (CPTG +uid 837,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 838,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,49300,34700,52000" +st "eni" +blo "34500,52000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*41 (CptPort +uid 839,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 840,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,44625,40750,45375" +) +tg (CPTG +uid 841,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 842,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,44300,39000,45700" +st "Qi" +ju 2 +blo "39000,45500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +) +) +) +*42 (CptPort +uid 843,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 844,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,38625,27000,39375" +) +tg (CPTG +uid 845,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 846,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,38300,31200,39700" +st "RaZ" +blo "28000,39500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*43 (CptPort +uid 847,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 848,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,35250,34375,36000" +) +tg (CPTG +uid 849,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 850,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,37000,34700,40800" +st "RCOi" +ju 2 +blo "34500,37000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*44 (CptPort +uid 851,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 852,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,49625,27000,50375" +) +tg (CPTG +uid 853,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 854,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,49300,32100,50700" +st "reset" +blo "28000,50500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*45 (CptPort +uid 855,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 856,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,36625,27000,37375" +) +tg (CPTG +uid 857,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 858,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,36300,30400,37700" +st "up" +blo "28000,37500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 822,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,36000,40000,53000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 823,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 824,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,42800,33600,44000" +st "Cursor" +blo "29900,43800" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 825,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,44000,34100,45200" +st "cpt4bit" +blo "29900,45000" +tm "CptNameMgr" +) +*48 (Text +uid 826,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,45200,32400,46400" +st "U_3" +blo "29900,46200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 827,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 828,0 +text (MLText +uid 829,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,37000,1500,37000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,51250,28750,52750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*49 (SaComponent +uid 901,0 +optionalChildren [ +*50 (CptPort +uid 911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,68625,27000,69375" +) +tg (CPTG +uid 913,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 914,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,68300,31800,69700" +st "clock" +blo "28000,69500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*51 (CptPort +uid 915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 916,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,73000,34375,73750" +) +tg (CPTG +uid 917,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 918,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,69300,34700,72000" +st "eni" +blo "34500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*52 (CptPort +uid 919,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 920,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,64625,40750,65375" +) +tg (CPTG +uid 921,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 922,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,64300,39000,65700" +st "Qi" +ju 2 +blo "39000,65500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +) +) +) +*53 (CptPort +uid 923,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 924,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,58625,27000,59375" +) +tg (CPTG +uid 925,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 926,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,58300,31200,59700" +st "RaZ" +blo "28000,59500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*54 (CptPort +uid 927,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 928,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,55250,34375,56000" +) +tg (CPTG +uid 929,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 930,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,57000,34700,60800" +st "RCOi" +ju 2 +blo "34500,57000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*55 (CptPort +uid 931,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 932,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,69625,27000,70375" +) +tg (CPTG +uid 933,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 934,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,69300,32100,70700" +st "reset" +blo "28000,70500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*56 (CptPort +uid 935,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 936,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,56625,27000,57375" +) +tg (CPTG +uid 937,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 938,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,56300,30400,57700" +st "up" +blo "28000,57500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 902,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,56000,40000,73000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 903,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 904,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,62800,33600,64000" +st "Cursor" +blo "29900,63800" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 905,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,64000,34100,65200" +st "cpt4bit" +blo "29900,65000" +tm "CptNameMgr" +) +*59 (Text +uid 906,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,65200,32400,66400" +st "U_4" +blo "29900,66200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 907,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 908,0 +text (MLText +uid 909,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,57000,1500,57000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 910,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,71250,28750,72750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*60 (SaComponent +uid 975,0 +optionalChildren [ +*61 (CptPort +uid 985,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 986,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,88625,27000,89375" +) +tg (CPTG +uid 987,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 988,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,88300,31800,89700" +st "clock" +blo "28000,89500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*62 (CptPort +uid 989,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 990,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,93000,34375,93750" +) +tg (CPTG +uid 991,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 992,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,89300,34700,92000" +st "eni" +blo "34500,92000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*63 (CptPort +uid 993,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 994,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,84625,40750,85375" +) +tg (CPTG +uid 995,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 996,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,84300,39000,85700" +st "Qi" +ju 2 +blo "39000,85500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +) +) +) +*64 (CptPort +uid 997,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 998,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,78625,27000,79375" +) +tg (CPTG +uid 999,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1000,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,78300,31200,79700" +st "RaZ" +blo "28000,79500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*65 (CptPort +uid 1001,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1002,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,75250,34375,76000" +) +tg (CPTG +uid 1003,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1004,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,77000,34700,80800" +st "RCOi" +ju 2 +blo "34500,77000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*66 (CptPort +uid 1005,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1006,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,89625,27000,90375" +) +tg (CPTG +uid 1007,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1008,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,89300,32100,90700" +st "reset" +blo "28000,90500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*67 (CptPort +uid 1009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,76625,27000,77375" +) +tg (CPTG +uid 1011,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1012,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,76300,30400,77700" +st "up" +blo "28000,77500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 976,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,76000,40000,93000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 977,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 978,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,82800,33600,84000" +st "Cursor" +blo "29900,83800" +tm "BdLibraryNameMgr" +) +*69 (Text +uid 979,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,84000,34100,85200" +st "cpt4bit" +blo "29900,85000" +tm "CptNameMgr" +) +*70 (Text +uid 980,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,85200,32400,86400" +st "U_5" +blo "29900,86200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 981,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 982,0 +text (MLText +uid 983,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,77000,1500,77000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 984,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,91250,28750,92750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*71 (SaComponent +uid 1049,0 +optionalChildren [ +*72 (CptPort +uid 1059,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1060,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,108625,27000,109375" +) +tg (CPTG +uid 1061,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1062,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,108300,31800,109700" +st "clock" +blo "28000,109500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*73 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,113000,34375,113750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,109300,34700,112000" +st "eni" +blo "34500,112000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*74 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,104625,40750,105375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,104300,39000,105700" +st "Qi" +ju 2 +blo "39000,105500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +) +) +) +*75 (CptPort +uid 1071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1072,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,98625,27000,99375" +) +tg (CPTG +uid 1073,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1074,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,98300,31200,99700" +st "RaZ" +blo "28000,99500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*76 (CptPort +uid 1075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1076,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,95250,34375,96000" +) +tg (CPTG +uid 1077,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1078,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,97000,34700,100800" +st "RCOi" +ju 2 +blo "34500,97000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*77 (CptPort +uid 1079,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1080,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,109625,27000,110375" +) +tg (CPTG +uid 1081,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1082,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,109300,32100,110700" +st "reset" +blo "28000,110500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*78 (CptPort +uid 1083,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1084,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,96625,27000,97375" +) +tg (CPTG +uid 1085,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1086,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,96300,30400,97700" +st "up" +blo "28000,97500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 1050,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,96000,40000,113000" +) +oxt "15000,6000,23000,16000" +ttg (MlTextGroup +uid 1051,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 1052,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,102800,33600,104000" +st "Cursor" +blo "29900,103800" +tm "BdLibraryNameMgr" +) +*80 (Text +uid 1053,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,104000,34100,105200" +st "cpt4bit" +blo "29900,105000" +tm "CptNameMgr" +) +*81 (Text +uid 1054,0 +va (VaSet +font "Verdana,9,1" +) +xt "29900,105200,32400,106400" +st "U_6" +blo "29900,106200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1055,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1056,0 +text (MLText +uid 1057,0 +va (VaSet +font "Courier New,8,0" +) +xt "1500,97000,1500,97000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1058,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "27250,111250,28750,112750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*82 (SaComponent +uid 1131,0 +optionalChildren [ +*83 (CptPort +uid 1123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1124,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "9250,23625,10000,24375" +) +tg (CPTG +uid 1125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1126,0 +va (VaSet +isHidden 1 +) +xt "10000,23700,12300,24900" +st "in1" +blo "10000,24700" +) +s (Text +uid 1141,0 +va (VaSet +isHidden 1 +) +xt "10000,24900,10000,24900" +blo "10000,24900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*84 (CptPort +uid 1127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1128,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "15000,23625,15750,24375" +) +tg (CPTG +uid 1129,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1130,0 +va (VaSet +isHidden 1 +) +xt "12000,23700,15000,24900" +st "out1" +ju 2 +blo "15000,24700" +) +s (Text +uid 1142,0 +va (VaSet +isHidden 1 +) +xt "15000,24900,15000,24900" +ju 2 +blo "15000,24900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "10000,21000,15000,27000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 1133,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 1134,0 +va (VaSet +font "Verdana,8,1" +) +xt "10910,26700,14010,27700" +st "gates" +blo "10910,27500" +tm "BdLibraryNameMgr" +) +*86 (Text +uid 1135,0 +va (VaSet +font "Verdana,8,1" +) +xt "10910,27700,17810,28700" +st "bufferUlogic" +blo "10910,28500" +tm "CptNameMgr" +) +*87 (Text +uid 1136,0 +va (VaSet +font "Verdana,8,1" +) +xt "10910,28700,13410,29700" +st "U_0" +blo "10910,29500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1137,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1138,0 +text (MLText +uid 1139,0 +va (VaSet +font "Verdana,8,0" +) +xt "10000,29600,24100,30600" +st "delay = gateDelay ( time ) +" +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 1140,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "10250,25250,11750,26750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*88 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,33000,10000,33000" +pts [ +"0,33000" +"10000,33000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,31800,5400,33000" +st "clock" +blo "2000,32800" +tm "WireNameMgr" +) +) +on &2 +) +*89 (Wire +uid 29,0 +optionalChildren [ +*90 (Ripper +uid 1161,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"57000,8000" +"58000,9000" +] +uid 1162,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,8000,58000,9000" +) +) +*91 (Ripper +uid 1167,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"54000,8000" +"55000,9000" +] +uid 1168,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54000,8000,55000,9000" +) +) +*92 (Ripper +uid 1173,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"51000,8000" +"52000,9000" +] +uid 1174,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,8000,52000,9000" +) +) +*93 (Ripper +uid 1179,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"48000,8000" +"49000,9000" +] +uid 1180,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,8000,49000,9000" +) +) +*94 (Ripper +uid 1185,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"45000,8000" +"46000,9000" +] +uid 1186,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,8000,46000,9000" +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,8000,60000,8000" +pts [ +"60000,8000" +"42000,8000" +] +) +start &3 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,67800,8000" +st "dbus0 : (19:0)" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &4 +) +*95 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &5 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,3900,12000" +st "en" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &6 +) +*96 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,4700,16000" +st "RaZ" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &8 +) +*97 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,31000,10000,31000" +pts [ +"0,31000" +"10000,31000" +] +) +start &9 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,29800,5300,31000" +st "reset" +blo "2000,30800" +tm "WireNameMgr" +) +) +on &10 +) +*98 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &11 +end &83 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,8000,24000" +st "upnotdown" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*99 (Wire +uid 677,0 +shape (OrthoPolyLine +uid 678,0 +va (VaSet +vasetType 3 +) +xt "22000,30000,26250,30000" +pts [ +"22000,30000" +"26250,30000" +] +) +end &33 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 683,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 684,0 +va (VaSet +) +xt "23000,28800,26300,30000" +st "reset" +blo "23000,29800" +tm "WireNameMgr" +) +) +on &10 +) +*100 (Wire +uid 685,0 +shape (OrthoPolyLine +uid 686,0 +va (VaSet +vasetType 3 +) +xt "23000,17000,26250,17000" +pts [ +"23000,17000" +"26250,17000" +] +) +end &34 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 691,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 692,0 +va (VaSet +) +xt "24000,15800,25900,17000" +st "up" +blo "24000,16800" +tm "WireNameMgr" +) +) +on &24 +) +*101 (Wire +uid 693,0 +shape (OrthoPolyLine +uid 694,0 +va (VaSet +vasetType 3 +) +xt "23000,19000,26250,19000" +pts [ +"23000,19000" +"26250,19000" +] +) +end &31 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 699,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 700,0 +va (VaSet +) +xt "24000,17800,26700,19000" +st "RaZ" +blo "24000,18800" +tm "WireNameMgr" +) +) +on &8 +) +*102 (Wire +uid 701,0 +shape (OrthoPolyLine +uid 702,0 +va (VaSet +vasetType 3 +) +xt "22000,29000,26250,29000" +pts [ +"22000,29000" +"26250,29000" +] +) +end &28 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 707,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 708,0 +va (VaSet +) +xt "23000,27800,26400,29000" +st "clock" +blo "23000,28800" +tm "WireNameMgr" +) +) +on &2 +) +*103 (Wire +uid 709,0 +shape (OrthoPolyLine +uid 710,0 +va (VaSet +vasetType 3 +) +xt "34000,13000,34000,15250" +pts [ +"34000,15250" +"34000,13000" +] +) +start &32 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 715,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 716,0 +ro 270 +va (VaSet +) +xt "32800,12600,34000,16000" +st "RCOi" +blo "33800,16000" +tm "WireNameMgr" +) +) +on &25 +) +*104 (Wire +uid 719,0 +shape (OrthoPolyLine +uid 720,0 +va (VaSet +vasetType 3 +) +xt "34000,33750,34000,35250" +pts [ +"34000,35250" +"34000,33750" +] +) +start &43 +end &29 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 725,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 726,0 +ro 270 +va (VaSet +) +xt "32800,32800,34000,35000" +st "eni" +blo "33800,35000" +tm "WireNameMgr" +) +) +on &26 +) +*105 (Wire +uid 859,0 +shape (OrthoPolyLine +uid 860,0 +va (VaSet +vasetType 3 +) +xt "23000,37000,26250,37000" +pts [ +"23000,37000" +"26250,37000" +] +) +end &45 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 863,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 864,0 +va (VaSet +) +xt "24000,35800,25900,37000" +st "up" +blo "24000,36800" +tm "WireNameMgr" +) +) +on &24 +) +*106 (Wire +uid 865,0 +shape (OrthoPolyLine +uid 866,0 +va (VaSet +vasetType 3 +) +xt "22000,50000,26250,50000" +pts [ +"22000,50000" +"26250,50000" +] +) +end &44 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 869,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 870,0 +va (VaSet +) +xt "23000,48800,26300,50000" +st "reset" +blo "23000,49800" +tm "WireNameMgr" +) +) +on &10 +) +*107 (Wire +uid 871,0 +shape (OrthoPolyLine +uid 872,0 +va (VaSet +vasetType 3 +) +xt "23000,39000,26250,39000" +pts [ +"23000,39000" +"26250,39000" +] +) +end &42 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 875,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 876,0 +va (VaSet +) +xt "24000,37800,26700,39000" +st "RaZ" +blo "24000,38800" +tm "WireNameMgr" +) +) +on &8 +) +*108 (Wire +uid 877,0 +shape (OrthoPolyLine +uid 878,0 +va (VaSet +vasetType 3 +) +xt "22000,49000,26250,49000" +pts [ +"22000,49000" +"26250,49000" +] +) +end &39 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +) +xt "23000,47800,26400,49000" +st "clock" +blo "23000,48800" +tm "WireNameMgr" +) +) +on &2 +) +*109 (Wire +uid 889,0 +shape (OrthoPolyLine +uid 890,0 +va (VaSet +vasetType 3 +) +xt "34000,53750,34000,55250" +pts [ +"34000,55250" +"34000,53750" +] +) +start &54 +end &40 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 894,0 +ro 270 +va (VaSet +) +xt "32800,52800,34000,55000" +st "eni" +blo "33800,55000" +tm "WireNameMgr" +) +) +on &26 +) +*110 (Wire +uid 939,0 +shape (OrthoPolyLine +uid 940,0 +va (VaSet +vasetType 3 +) +xt "22000,70000,26250,70000" +pts [ +"22000,70000" +"26250,70000" +] +) +end &55 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 943,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 944,0 +va (VaSet +) +xt "23000,68800,26300,70000" +st "reset" +blo "23000,69800" +tm "WireNameMgr" +) +) +on &10 +) +*111 (Wire +uid 945,0 +shape (OrthoPolyLine +uid 946,0 +va (VaSet +vasetType 3 +) +xt "23000,57000,26250,57000" +pts [ +"23000,57000" +"26250,57000" +] +) +end &56 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 949,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 950,0 +va (VaSet +) +xt "24000,55800,25900,57000" +st "up" +blo "24000,56800" +tm "WireNameMgr" +) +) +on &24 +) +*112 (Wire +uid 951,0 +shape (OrthoPolyLine +uid 952,0 +va (VaSet +vasetType 3 +) +xt "23000,59000,26250,59000" +pts [ +"23000,59000" +"26250,59000" +] +) +end &53 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 955,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 956,0 +va (VaSet +) +xt "24000,57800,26700,59000" +st "RaZ" +blo "24000,58800" +tm "WireNameMgr" +) +) +on &8 +) +*113 (Wire +uid 957,0 +shape (OrthoPolyLine +uid 958,0 +va (VaSet +vasetType 3 +) +xt "22000,69000,26250,69000" +pts [ +"22000,69000" +"26250,69000" +] +) +end &50 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 961,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 962,0 +va (VaSet +) +xt "23000,67800,26400,69000" +st "clock" +blo "23000,68800" +tm "WireNameMgr" +) +) +on &2 +) +*114 (Wire +uid 963,0 +shape (OrthoPolyLine +uid 964,0 +va (VaSet +vasetType 3 +) +xt "34000,73750,34000,75250" +pts [ +"34000,75250" +"34000,73750" +] +) +start &65 +end &51 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 967,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 968,0 +ro 270 +va (VaSet +) +xt "32800,72800,34000,75000" +st "eni" +blo "33800,75000" +tm "WireNameMgr" +) +) +on &26 +) +*115 (Wire +uid 1013,0 +shape (OrthoPolyLine +uid 1014,0 +va (VaSet +vasetType 3 +) +xt "22000,90000,26250,90000" +pts [ +"22000,90000" +"26250,90000" +] +) +end &66 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1017,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1018,0 +va (VaSet +) +xt "23000,88800,26300,90000" +st "reset" +blo "23000,89800" +tm "WireNameMgr" +) +) +on &10 +) +*116 (Wire +uid 1019,0 +shape (OrthoPolyLine +uid 1020,0 +va (VaSet +vasetType 3 +) +xt "23000,77000,26250,77000" +pts [ +"23000,77000" +"26250,77000" +] +) +end &67 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1023,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1024,0 +va (VaSet +) +xt "24000,75800,25900,77000" +st "up" +blo "24000,76800" +tm "WireNameMgr" +) +) +on &24 +) +*117 (Wire +uid 1025,0 +shape (OrthoPolyLine +uid 1026,0 +va (VaSet +vasetType 3 +) +xt "23000,79000,26250,79000" +pts [ +"23000,79000" +"26250,79000" +] +) +end &64 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1030,0 +va (VaSet +) +xt "24000,77800,26700,79000" +st "RaZ" +blo "24000,78800" +tm "WireNameMgr" +) +) +on &8 +) +*118 (Wire +uid 1031,0 +shape (OrthoPolyLine +uid 1032,0 +va (VaSet +vasetType 3 +) +xt "22000,89000,26250,89000" +pts [ +"22000,89000" +"26250,89000" +] +) +end &61 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1035,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1036,0 +va (VaSet +) +xt "23000,87800,26400,89000" +st "clock" +blo "23000,88800" +tm "WireNameMgr" +) +) +on &2 +) +*119 (Wire +uid 1037,0 +shape (OrthoPolyLine +uid 1038,0 +va (VaSet +vasetType 3 +) +xt "34000,93750,34000,95250" +pts [ +"34000,95250" +"34000,93750" +] +) +start &76 +end &62 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1041,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1042,0 +ro 270 +va (VaSet +) +xt "32800,92800,34000,95000" +st "eni" +blo "33800,95000" +tm "WireNameMgr" +) +) +on &26 +) +*120 (Wire +uid 1087,0 +shape (OrthoPolyLine +uid 1088,0 +va (VaSet +vasetType 3 +) +xt "22000,110000,26250,110000" +pts [ +"22000,110000" +"26250,110000" +] +) +end &77 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1091,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1092,0 +va (VaSet +) +xt "23000,108800,26300,110000" +st "reset" +blo "23000,109800" +tm "WireNameMgr" +) +) +on &10 +) +*121 (Wire +uid 1093,0 +shape (OrthoPolyLine +uid 1094,0 +va (VaSet +vasetType 3 +) +xt "23000,97000,26250,97000" +pts [ +"23000,97000" +"26250,97000" +] +) +end &78 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1097,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1098,0 +va (VaSet +) +xt "24000,95800,25900,97000" +st "up" +blo "24000,96800" +tm "WireNameMgr" +) +) +on &24 +) +*122 (Wire +uid 1099,0 +shape (OrthoPolyLine +uid 1100,0 +va (VaSet +vasetType 3 +) +xt "23000,99000,26250,99000" +pts [ +"23000,99000" +"26250,99000" +] +) +end &75 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1104,0 +va (VaSet +) +xt "24000,97800,26700,99000" +st "RaZ" +blo "24000,98800" +tm "WireNameMgr" +) +) +on &8 +) +*123 (Wire +uid 1105,0 +shape (OrthoPolyLine +uid 1106,0 +va (VaSet +vasetType 3 +) +xt "22000,109000,26250,109000" +pts [ +"22000,109000" +"26250,109000" +] +) +end &72 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1109,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1110,0 +va (VaSet +) +xt "23000,107800,26400,109000" +st "clock" +blo "23000,108800" +tm "WireNameMgr" +) +) +on &2 +) +*124 (Wire +uid 1111,0 +shape (OrthoPolyLine +uid 1112,0 +va (VaSet +vasetType 3 +) +xt "34000,113750,34000,118000" +pts [ +"34000,118000" +"34000,113750" +] +) +end &73 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1115,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1116,0 +ro 270 +va (VaSet +) +xt "32800,113100,34000,115000" +st "en" +blo "33800,115000" +tm "WireNameMgr" +) +) +on &6 +) +*125 (Wire +uid 1145,0 +shape (OrthoPolyLine +uid 1146,0 +va (VaSet +vasetType 3 +) +xt "15000,24000,18000,24000" +pts [ +"15000,24000" +"18000,24000" +] +) +start &84 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1149,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1150,0 +va (VaSet +isHidden 1 +) +xt "17000,22800,18900,24000" +st "up" +blo "17000,23800" +tm "WireNameMgr" +) +s (Text +va (VaSet +isHidden 1 +) +xt "17000,24000,17000,24000" +blo "17000,24000" +tm "SignalTypeMgr" +) +) +on &24 +) +*126 (Wire +uid 1157,0 +shape (OrthoPolyLine +uid 1158,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,9000,58000,105000" +pts [ +"40750,105000" +"58000,105000" +"58000,9000" +] +) +start &74 +end &90 +sat 32 +eat 32 +sty 1 +sl "(3 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1160,0 +va (VaSet +) +xt "42750,103800,49850,105000" +st "dbus0(3:0)" +blo "42750,104800" +tm "WireNameMgr" +) +) +on &4 +) +*127 (Wire +uid 1163,0 +shape (OrthoPolyLine +uid 1164,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,9000,55000,85000" +pts [ +"40750,85000" +"55000,85000" +"55000,9000" +] +) +start &63 +end &91 +sat 32 +eat 32 +sty 1 +sl "(7 DOWNTO 4)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1165,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1166,0 +va (VaSet +) +xt "42750,83800,49850,85000" +st "dbus0(7:4)" +blo "42750,84800" +tm "WireNameMgr" +) +) +on &4 +) +*128 (Wire +uid 1169,0 +shape (OrthoPolyLine +uid 1170,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,9000,52000,65000" +pts [ +"40750,65000" +"52000,65000" +"52000,9000" +] +) +start &52 +end &92 +sat 32 +eat 32 +sty 1 +sl "(11 DOWNTO 8)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1171,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1172,0 +va (VaSet +) +xt "42750,63800,50550,65000" +st "dbus0(11:8)" +blo "42750,64800" +tm "WireNameMgr" +) +) +on &4 +) +*129 (Wire +uid 1175,0 +shape (OrthoPolyLine +uid 1176,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,9000,49000,45000" +pts [ +"40750,45000" +"49000,45000" +"49000,9000" +] +) +start &41 +end &93 +sat 32 +eat 32 +sty 1 +sl "(15 DOWNTO 12)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1177,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1178,0 +va (VaSet +) +xt "42750,43800,51250,45000" +st "dbus0(15:12)" +blo "42750,44800" +tm "WireNameMgr" +) +) +on &4 +) +*130 (Wire +uid 1181,0 +shape (OrthoPolyLine +uid 1182,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40750,9000,46000,25000" +pts [ +"40750,25000" +"46000,25000" +"46000,9000" +] +) +start &30 +end &94 +sat 32 +eat 32 +sty 1 +sl "(19 DOWNTO 16)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1183,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1184,0 +va (VaSet +) +xt "42750,23800,51250,25000" +st "dbus0(19:16)" +blo "42750,24800" +tm "WireNameMgr" +) +) +on &4 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *131 (PackageList +uid 137,0 +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +uid 138,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*133 (MLText +uid 139,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 140,0 +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*135 (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*136 (MLText +uid 143,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*137 (Text +uid 144,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*138 (MLText +uid 145,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*139 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*140 (MLText +uid 147,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1544,928" +viewArea "-14662,-4726,110759,73650" +cachedDiagramExtent "-9000,0,67800,118400" +hasePageBreakOrigin 1 +pageBreakOrigin "-10000,0" +lastUid 1186,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*142 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*143 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*145 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*146 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*148 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*149 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*151 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*152 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*153 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*154 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*155 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*156 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*157 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*159 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*160 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*161 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,7200,29500,8400" +st "Diagram Signals:" +blo "20000,8200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *162 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*163 (RefLabelRowHdr +) +*164 (TitleRowHdr +) +*165 (FilterRowHdr +) +*166 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*167 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*168 (GroupColHdr +tm "GroupColHdrMgr" +) +*169 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*170 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*171 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*172 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*173 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*174 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*175 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 93,0 +) +*176 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 95,0 +) +*177 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 97,0 +) +*178 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 99,0 +) +*179 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "upnotdown" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 101,0 +) +*180 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbus0" +t "integer" +b "(19 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 103,0 +) +*181 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "up" +t "std_ulogic" +o 8 +suid 13,0 +) +) +uid 305,0 +) +*182 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RCOi" +t "std_ulogic" +o 10 +suid 18,0 +) +) +uid 309,0 +) +*183 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "eni" +t "std_ulogic" +o 9 +suid 21,0 +) +) +uid 741,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*184 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *185 (MRCItem +litem &162 +pos 9 +dimension 20 +) +uid 165,0 +optionalChildren [ +*186 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 166,0 +) +*187 (MRCItem +litem &164 +pos 1 +dimension 23 +uid 167,0 +) +*188 (MRCItem +litem &165 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*189 (MRCItem +litem &175 +pos 0 +dimension 20 +uid 94,0 +) +*190 (MRCItem +litem &176 +pos 1 +dimension 20 +uid 96,0 +) +*191 (MRCItem +litem &177 +pos 2 +dimension 20 +uid 98,0 +) +*192 (MRCItem +litem &178 +pos 3 +dimension 20 +uid 100,0 +) +*193 (MRCItem +litem &179 +pos 4 +dimension 20 +uid 102,0 +) +*194 (MRCItem +litem &180 +pos 5 +dimension 20 +uid 104,0 +) +*195 (MRCItem +litem &181 +pos 6 +dimension 20 +uid 306,0 +) +*196 (MRCItem +litem &182 +pos 7 +dimension 20 +uid 310,0 +) +*197 (MRCItem +litem &183 +pos 8 +dimension 20 +uid 742,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 169,0 +optionalChildren [ +*198 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 170,0 +) +*199 (MRCItem +litem &168 +pos 1 +dimension 50 +uid 171,0 +) +*200 (MRCItem +litem &169 +pos 2 +dimension 100 +uid 172,0 +) +*201 (MRCItem +litem &170 +pos 3 +dimension 50 +uid 173,0 +) +*202 (MRCItem +litem &171 +pos 4 +dimension 100 +uid 174,0 +) +*203 (MRCItem +litem &172 +pos 5 +dimension 100 +uid 175,0 +) +*204 (MRCItem +litem &173 +pos 6 +dimension 50 +uid 176,0 +) +*205 (MRCItem +litem &174 +pos 7 +dimension 80 +uid 177,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *206 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*207 (RefLabelRowHdr +) +*208 (TitleRowHdr +) +*209 (FilterRowHdr +) +*210 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*211 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*212 (GroupColHdr +tm "GroupColHdrMgr" +) +*213 (NameColHdr +tm "GenericNameColHdrMgr" +) +*214 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*215 (InitColHdr +tm "GenericValueColHdrMgr" +) +*216 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*217 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 191,0 +optionalChildren [ +*218 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *219 (MRCItem +litem &206 +pos 0 +dimension 20 +) +uid 193,0 +optionalChildren [ +*220 (MRCItem +litem &207 +pos 0 +dimension 20 +uid 194,0 +) +*221 (MRCItem +litem &208 +pos 1 +dimension 23 +uid 195,0 +) +*222 (MRCItem +litem &209 +pos 2 +hidden 1 +dimension 20 +uid 196,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*223 (MRCItem +litem &210 +pos 0 +dimension 20 +uid 198,0 +) +*224 (MRCItem +litem &212 +pos 1 +dimension 50 +uid 199,0 +) +*225 (MRCItem +litem &213 +pos 2 +dimension 100 +uid 200,0 +) +*226 (MRCItem +litem &214 +pos 3 +dimension 100 +uid 201,0 +) +*227 (MRCItem +litem &215 +pos 4 +dimension 50 +uid 202,0 +) +*228 (MRCItem +litem &216 +pos 5 +dimension 50 +uid 203,0 +) +*229 (MRCItem +litem &217 +pos 6 +dimension 80 +uid 204,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 192,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/convertissor_position/fsm.sm b/Cursor/hds/convertissor_position/fsm.sm new file mode 100644 index 0000000..fc6ba10 --- /dev/null +++ b/Cursor/hds/convertissor_position/fsm.sm @@ -0,0 +1,4013 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "convertissor_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "16:00:33" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "convertissor_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "16:00:33" +) +(vvPair +variable "unit" +value "convertissor_position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 228,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "35654,7841,42756,14943" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "37955,10692,40455,12092" +st "s0" +ju 0 +blo "39205,11892" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "38955,11592,44055,12992" +st "wait 2" +blo "38955,12792" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "39205,12492,39205,12492" +blo "39205,12492" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "31634,12652,52934,14052" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31734,12552,52834,12552" +pts [ +"31734,12552" +"52834,12552" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31734,11952,52834,11952" +pts [ +"31734,11952" +"52834,11952" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31284,12177,31634,12527" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31284,9777,31634,10127" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "31734,12352,31734,12352" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "31734,12752,52834,13952" +st "Position <= \"1111111111111111\" ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "38284,9952,38284,9952" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "37105,12592,43805,13792" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3366,16778,5616,17778" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4266,16978,4865,17578" +pts [ +"4266,17578" +"4565,17578" +"4565,16978" +"4865,16978" +] +) +(Arc2D +pts [ +"3875,17431" +"3620,17125" +"3875,17125" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3547,17078,3875,17477" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "666,16778,2866,17978" +st "clk" +ju 2 +blo "2866,17778" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5732,16636,20632,18036" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5832,16736,20532,17936" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,21450,20700" +st "s0" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,21450,15700" +st "s0" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,45400,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,48700,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 164,0 +shape (Circle +uid 165,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28219,20083,35321,27185" +radius 3551 +) +name (Text +uid 166,0 +va (VaSet +font "Verdana,12,1" +) +xt "29020,22934,34520,24334" +st "negatif" +ju 0 +blo "31770,24134" +tm "ONodeName" +) +wait (TextAssociate +uid 167,0 +ps "CenterOffsetStrategy" +text (Text +uid 168,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "31520,23834,36620,25234" +st "wait 2" +blo "31520,25034" +tm "SmWaitText" +) +) +encoding (Text +uid 169,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31770,24734,31770,24734" +blo "31770,24734" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 173,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "25270,26334,46270,27734" +) +autoResize 1 +tline (Line +uid 174,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25370,26234,46170,26234" +pts [ +"25370,26234" +"46170,26234" +] +) +bline (Line +uid 175,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25370,25634,46170,25634" +pts [ +"25370,25634" +"46170,25634" +] +) +ttri (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24920,25859,25270,26209" +) +btri (Triangle +uid 177,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24920,23459,25270,23809" +) +entryActions (MLText +uid 178,0 +va (VaSet +) +xt "25370,26034,25370,26034" +tm "Actions" +) +inActions (MLText +uid 179,0 +va (VaSet +) +xt "25370,26434,46170,27634" +st "Position <= \"0000000000000000\";" +tm "Actions" +) +exitActions (MLText +uid 180,0 +va (VaSet +) +xt "31770,23634,31770,23634" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 170,0 +ps "CenterOffsetStrategy" +text (MLText +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29670,24834,36370,26034" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 181,0 +shape (Circle +uid 182,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43253,20199,50355,27301" +radius 3551 +) +name (Text +uid 183,0 +va (VaSet +font "Verdana,12,1" +) +xt "44054,23050,49554,24450" +st "normal" +ju 0 +blo "46804,24250" +tm "ONodeName" +) +wait (TextAssociate +uid 184,0 +ps "CenterOffsetStrategy" +text (Text +uid 185,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "46554,23950,51654,25350" +st "wait 2" +blo "46554,25150" +tm "SmWaitText" +) +) +encoding (Text +uid 186,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46804,24850,46804,24850" +blo "46804,24850" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 189,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 190,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "34004,34010,58004,35410" +) +autoResize 1 +tline (Line +uid 191,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34104,33910,57904,33910" +pts [ +"34104,33910" +"57904,33910" +] +) +bline (Line +uid 192,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34104,33310,57904,33310" +pts [ +"34104,33310" +"57904,33310" +] +) +ttri (Triangle +uid 193,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33654,33535,34004,33885" +) +btri (Triangle +uid 194,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33654,31135,34004,31485" +) +entryActions (MLText +uid 195,0 +va (VaSet +) +xt "34104,33710,34104,33710" +tm "Actions" +) +inActions (MLText +uid 196,0 +va (VaSet +) +xt "34104,34110,57904,35310" +st "Position <= position20bit(17 DOWNTO 2);" +tm "Actions" +) +exitActions (MLText +uid 197,0 +va (VaSet +) +xt "42004,31310,42004,31310" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 187,0 +ps "CenterOffsetStrategy" +text (MLText +uid 188,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "44704,24950,51404,26150" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 330,0 +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "59929,19409,67031,26511" +radius 3551 +) +name (Text +uid 332,0 +va (VaSet +font "Verdana,12,1" +) +xt "61630,22260,65330,23660" +st "max" +ju 0 +blo "63480,23460" +tm "ONodeName" +) +wait (TextAssociate +uid 333,0 +ps "CenterOffsetStrategy" +text (Text +uid 334,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "63230,23160,68330,24560" +st "wait 2" +blo "63230,24360" +tm "SmWaitText" +) +) +encoding (Text +uid 335,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "63480,24060,63480,24060" +blo "63480,24060" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 338,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 339,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "54280,26860,75280,28260" +) +autoResize 1 +tline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54380,26760,75180,26760" +pts [ +"54380,26760" +"75180,26760" +] +) +bline (Line +uid 341,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "54380,26160,75180,26160" +pts [ +"54380,26160" +"75180,26160" +] +) +ttri (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53930,26385,54280,26735" +) +btri (Triangle +uid 343,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53930,23985,54280,24335" +) +entryActions (MLText +uid 344,0 +va (VaSet +) +xt "54380,26560,54380,26560" +tm "Actions" +) +inActions (MLText +uid 345,0 +va (VaSet +) +xt "54380,26960,75180,28160" +st "Position <= \"1111111111111111\";" +tm "Actions" +) +exitActions (MLText +uid 346,0 +va (VaSet +) +xt "60780,24160,60780,24160" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 336,0 +ps "CenterOffsetStrategy" +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "61380,24160,68080,25360" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 198,0 +shape (Spline +uid 199,0 +va (VaSet +vasetType 3 +) +xt "34664,21472,44079,21577" +pts [ +"44079,21472" +"34664,21577" +] +arrow 1 +) +start &20 +end &19 +cond "position20bit(19) = '1'" +tb (TransitionBlock +uid 200,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 201,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "25842,17096,40442,19296" +) +autoResize 1 +lineShape (Line +uid 202,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "28992,19196,28992,19196" +pts [ +"28992,19196" +"28992,19196" +] +) +condition (MLText +uid 203,0 +va (VaSet +) +xt "26342,17596,39942,18796" +st "position20bit(19) = '1'" +tm "Condition" +) +actions (MLText +uid 204,0 +va (VaSet +) +xt "33142,19196,33142,19196" +tm "Actions" +) +) +tp (TransitionPriority +uid 205,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 206,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "42075,20419,44201,22545" +radius 1063 +) +pr (Text +uid 207,0 +va (VaSet +) +xt "42438,20882,43838,22082" +st "1" +ju 0 +blo "43138,21882" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 208,0 +shape (Spline +uid 209,0 +va (VaSet +vasetType 3 +) +xt "35168,24664,43536,26345" +pts [ +"35168,24664" +"40698,26342" +"43536,25137" +] +arrow 1 +) +start &19 +end &20 +ss 0 +es 0 +cond "position20bit(19) = '0'" +tb (TransitionBlock +uid 210,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 211,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "30694,28377,45294,30577" +) +autoResize 1 +lineShape (Line +uid 212,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "33844,30477,33844,30477" +pts [ +"33844,30477" +"33844,30477" +] +) +condition (MLText +uid 213,0 +va (VaSet +) +xt "31194,28877,44794,30077" +st "position20bit(19) = '0'" +tm "Condition" +) +actions (MLText +uid 214,0 +va (VaSet +) +xt "37994,30477,37994,30477" +tm "Actions" +) +) +tp (TransitionPriority +uid 215,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 216,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "34949,23892,37075,26018" +radius 1063 +) +pr (Text +uid 217,0 +va (VaSet +isHidden 1 +) +xt "35312,24355,36712,25555" +st "1" +ju 0 +blo "36012,25355" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 218,0 +shape (Spline +uid 219,0 +va (VaSet +vasetType 3 +) +xt "40572,14669,44795,20822" +pts [ +"40572,14669" +"44795,20822" +] +arrow 1 +) +start &2 +end &20 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 220,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 221,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42604,14846,48104,17046" +) +autoResize 1 +lineShape (Line +uid 222,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45754,16946,45754,16946" +pts [ +"45754,16946" +"45754,16946" +] +) +condition (MLText +uid 223,0 +va (VaSet +) +xt "43104,15346,47604,16546" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 224,0 +va (VaSet +) +xt "45354,16946,45354,16946" +tm "Actions" +) +) +tp (TransitionPriority +uid 225,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 226,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39931,14221,42057,16347" +radius 1063 +) +pr (Text +uid 227,0 +va (VaSet +isHidden 1 +) +xt "40294,14684,41694,15884" +st "1" +ju 0 +blo "40994,15684" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 347,0 +shape (Spline +uid 348,0 +va (VaSet +vasetType 3 +) +xt "49650,17980,60378,21627" +pts [ +"49650,21627" +"54540,17980" +"60378,21232" +] +arrow 1 +) +start &20 +end &21 +ss 0 +es 0 +cond "position20bit >262143" +tb (TransitionBlock +uid 349,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 350,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "54220,14780,69220,16980" +) +autoResize 1 +lineShape (Line +uid 351,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "57370,16880,57370,16880" +pts [ +"57370,16880" +"57370,16880" +] +) +condition (MLText +uid 352,0 +va (VaSet +) +xt "54720,15280,68720,16480" +st "position20bit >262143" +tm "Condition" +) +actions (MLText +uid 353,0 +va (VaSet +) +xt "61720,16880,61720,16880" +tm "Actions" +) +) +tp (TransitionPriority +uid 354,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 355,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49522,19665,51648,21791" +radius 1063 +) +pr (Text +uid 356,0 +va (VaSet +) +xt "49885,20128,51285,21328" +st "2" +ju 0 +blo "50585,21128" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 357,0 +shape (Spline +uid 358,0 +va (VaSet +vasetType 3 +) +xt "49444,25659,61173,30400" +pts [ +"61173,25659" +"57120,30400" +"49444,26125" +] +arrow 1 +) +start &21 +end &20 +ss 0 +cond "position20bit < 262143" +tb (TransitionBlock +uid 359,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 360,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51700,31160,67000,33360" +) +autoResize 1 +lineShape (Line +uid 361,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54850,33260,54850,33260" +pts [ +"54850,33260" +"54850,33260" +] +) +condition (MLText +uid 362,0 +va (VaSet +) +xt "52200,31660,66500,32860" +st "position20bit < 262143" +tm "Condition" +) +actions (MLText +uid 363,0 +va (VaSet +) +xt "59350,33260,59350,33260" +tm "Actions" +) +) +tp (TransitionPriority +uid 364,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 365,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "59327,25912,61453,28038" +radius 1063 +) +pr (Text +uid 366,0 +va (VaSet +isHidden 1 +) +xt "59690,26375,61090,27575" +st "1" +ju 0 +blo "60390,27375" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *29 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "287,2539,7887,3739" +st "Package List" +blo "287,3539" +) +*31 (MLText +uid 33,0 +va (VaSet +) +xt "287,3739,19287,10939" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*33 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*34 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*35 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*36 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*37 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*38 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1185,927" +viewArea "18600,-4700,76020,43240" +cachedDiagramExtent "0,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *39 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*41 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*42 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*43 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*44 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*45 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *46 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*48 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*49 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*50 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*51 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *52 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*54 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*55 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*56 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*57 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *58 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *59 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,72400,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +Position OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *60 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +] +name "csm" +) +] +lastUid 366,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *61 (LEmptyRow +) +uid 230,0 +optionalChildren [ +*62 (RefLabelRowHdr +) +*63 (TitleRowHdr +) +*64 (FilterRowHdr +) +*65 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*66 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*67 (GroupColHdr +tm "GroupColHdrMgr" +) +*68 (NameColHdr +tm "SmNameColHdrMgr" +) +*69 (ModeColHdr +tm "SmModeColHdrMgr" +) +*70 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*71 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*72 (InitColHdr +tm "SmInitColHdrMgr" +) +*73 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*74 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*75 (ColumnHdr +tm "SmExprColHdrMgr" +) +*76 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*77 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*78 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*79 (EolColHdr +tm "SmEolColHdrMgr" +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 3 +) +) +uid 156,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 5 +) +) +uid 158,0 +scheme 0 +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 1 +) +) +uid 160,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +) +) +uid 162,0 +cat 8 +expr "rst = '0'" +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +) +) +uid 327,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 249,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *86 (MRCItem +litem &61 +pos 5 +dimension 20 +) +uid 251,0 +optionalChildren [ +*87 (MRCItem +litem &62 +pos 0 +dimension 20 +uid 252,0 +) +*88 (MRCItem +litem &63 +pos 1 +dimension 23 +uid 253,0 +) +*89 (MRCItem +litem &64 +pos 2 +hidden 1 +dimension 20 +uid 254,0 +) +*90 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 157,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 159,0 +) +*92 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 161,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 163,0 +) +*94 (MRCItem +litem &84 +pos 1 +dimension 20 +uid 326,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*95 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 256,0 +) +*96 (MRCItem +litem &67 +pos 1 +dimension 50 +uid 257,0 +) +*97 (MRCItem +litem &68 +pos 2 +dimension 70 +uid 258,0 +) +*98 (MRCItem +litem &69 +pos 3 +dimension 50 +uid 259,0 +) +*99 (MRCItem +litem &70 +pos 4 +dimension 80 +uid 260,0 +) +*100 (MRCItem +litem &71 +pos 5 +dimension 80 +uid 261,0 +) +*101 (MRCItem +litem &72 +pos 6 +dimension 40 +uid 262,0 +) +*102 (MRCItem +litem &73 +pos 7 +dimension 100 +uid 263,0 +) +*103 (MRCItem +litem &74 +pos 8 +dimension 60 +uid 264,0 +) +*104 (MRCItem +litem &75 +pos 9 +dimension 130 +uid 265,0 +) +*105 (MRCItem +litem &76 +pos 10 +dimension 56 +uid 266,0 +) +*106 (MRCItem +litem &77 +pos 11 +dimension 50 +uid 267,0 +) +*107 (MRCItem +litem &78 +pos 12 +dimension 50 +uid 268,0 +) +*108 (MRCItem +litem &79 +pos 13 +dimension 80 +uid 269,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 250,0 +vaOverrides [ +] +) +] +) +uid 229,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *109 (LEmptyRow +) +uid 271,0 +optionalChildren [ +*110 (RefLabelRowHdr +) +*111 (TitleRowHdr +) +*112 (FilterRowHdr +) +*113 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*114 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*115 (GroupColHdr +tm "GroupColHdrMgr" +) +*116 (NameColHdr +tm "GenericNameColHdrMgr" +) +*117 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*118 (InitColHdr +tm "GenericValueColHdrMgr" +) +*119 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*120 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 283,0 +optionalChildren [ +*121 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *122 (MRCItem +litem &109 +pos 0 +dimension 20 +) +uid 285,0 +optionalChildren [ +*123 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 286,0 +) +*124 (MRCItem +litem &111 +pos 1 +dimension 23 +uid 287,0 +) +*125 (MRCItem +litem &112 +pos 2 +hidden 1 +dimension 20 +uid 288,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 289,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 290,0 +) +*127 (MRCItem +litem &115 +pos 1 +dimension 50 +uid 291,0 +) +*128 (MRCItem +litem &116 +pos 2 +dimension 100 +uid 292,0 +) +*129 (MRCItem +litem &117 +pos 3 +dimension 100 +uid 293,0 +) +*130 (MRCItem +litem &118 +pos 4 +dimension 50 +uid 294,0 +) +*131 (MRCItem +litem &119 +pos 5 +dimension 50 +uid 295,0 +) +*132 (MRCItem +litem &120 +pos 6 +dimension 80 +uid 296,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 284,0 +vaOverrides [ +] +) +] +) +uid 270,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/convertissor_position/interface b/Cursor/hds/convertissor_position/interface new file mode 100644 index 0000000..db48416 --- /dev/null +++ b/Cursor/hds/convertissor_position/interface @@ -0,0 +1,1594 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 21,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 1 +suid 17,0 +) +) +uid 383,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 18,0 +) +) +uid 385,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 5 +suid 19,0 +) +) +uid 387,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 3 +suid 20,0 +) +) +uid 389,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 21,0 +) +) +uid 391,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 384,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 386,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 388,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 390,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 392,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 111,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 112,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 115,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 116,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 117,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 118,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 119,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 120,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "convertissor_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "15:07:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "convertissor_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\convertissor_position\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:07:48" +) +(vvPair +variable "unit" +value "convertissor_position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 358,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 359,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 360,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 361,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,18400,14700" +st "clk" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 362,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62500,3200" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 1 +suid 17,0 +) +) +) +*63 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,9400" +st "en" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,63500,4000" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 18,0 +) +) +) +*64 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +va (VaSet +font "Verdana,12,0" +) +xt "16200,8300,22000,9700" +st "Position" +ju 2 +blo "22000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,68500,6400" +st "Position : OUT unsigned (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 5 +suid 19,0 +) +) +) +*65 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 376,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,25800,9700" +st "position20bit" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,69000,4800" +st "position20bit : IN integer (19 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "position20bit" +t "integer" +b "(19 DOWNTO 0)" +o 3 +suid 20,0 +) +) +) +*66 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,18500,15700" +st "rst" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 382,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62500,5600" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "12750,9800,16450,11000" +st "Cursor" +blo "12750,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "12750,11000,25250,12200" +st "convertissor_position" +blo "12750,12000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-18000,7000,-6500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Compteur" +viewName "compteurt.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 415,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/cpt1bit/struct.bd b/Cursor/hds/cpt1bit/struct.bd new file mode 100644 index 0000000..62816b9 --- /dev/null +++ b/Cursor/hds/cpt1bit/struct.bd @@ -0,0 +1,5149 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +instances [ +(Instance +name "U_0" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 238,0 +) +(Instance +name "U_1" +duLibraryName "gates" +duName "xor2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 318,0 +) +(Instance +name "U_2" +duLibraryName "gates" +duName "xor2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 343,0 +) +(Instance +name "U_3" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 368,0 +) +(Instance +name "U_4" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 390,0 +) +(Instance +name "U_5" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 409,0 +) +(Instance +name "U_6" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 421,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "cpt1bit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:58:26" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "cpt1bit" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:58:26" +) +(vvPair +variable "unit" +value "cpt1bit" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 164,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,41625,-500,42375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,42000,0,42000" +pts [ +"-500,42000" +"0,42000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,41400,-3000,42600" +st "clock" +ju 2 +blo "-3000,42400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,200,52500,1000" +st "clock : std_ulogic +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,9625,-500,10375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,10000,0,10000" +pts [ +"-500,10000" +"0,10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-5200,9400,-3000,10600" +st "eni" +ju 2 +blo "-3000,10400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,1000,52500,1800" +st "eni : std_ulogic +" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "61500,34625,63000,35375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "61000,35000,61500,35000" +pts [ +"61000,35000" +"61500,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "64000,34400,65900,35600" +st "Qi" +blo "64000,35400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,3400,52500,4200" +st "Qi : std_ulogic +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,36625,-500,37375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,37000,0,37000" +pts [ +"-500,37000" +"0,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-5700,36400,-3000,37600" +st "RaZ" +ju 2 +blo "-3000,37400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,-600,52500,200" +st "RaZ : std_ulogic +" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "60500,11625,62000,12375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "60000,12000,60500,12000" +pts [ +"60000,12000" +"60500,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "63000,11400,66400,12600" +st "RCOi" +blo "63000,12400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,4200,52500,5000" +st "RCOi : std_ulogic +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,42625,-500,43375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,43000,0,43000" +pts [ +"-500,43000" +"0,43000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-6300,42400,-3000,43600" +st "reset" +ju 2 +blo "-3000,43400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,1800,52500,2600" +st "reset : std_ulogic +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,14625,-500,15375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,15000,0,15000" +pts [ +"-500,15000" +"0,15000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-4900,14400,-3000,15600" +st "up" +ju 2 +blo "-3000,15400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,2600,52500,3400" +st "up : std_ulogic +" +) +) +*15 (Grouping +uid 121,0 +optionalChildren [ +*16 (CommentText +uid 123,0 +shape (Rectangle +uid 124,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,48000,46000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 125,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,48000,38400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,50000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,44000,49200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,46000,46000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,46000,39200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,29000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,46000,27300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,45000,66000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,45200,55600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,44000,66000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "50200,44000,51800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,44000,46000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 143,0 +va (VaSet +fg "32768,0,0" +) +xt "30350,44400,40650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,29000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,47000,27300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,29000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,48000,27900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "29000,47000,46000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,47000,37300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 122,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "25000,44000,66000,49000" +) +oxt "14000,66000,55000,71000" +) +*26 (SaComponent +uid 238,0 +optionalChildren [ +*27 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 222,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45250,34625,46000,35375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "47000,34500,48500,35700" +st "D" +blo "47000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*28 (CptPort +uid 225,0 +optionalChildren [ +*29 (FFT +pts [ +"46750,39000" +"46000,39375" +"46000,38625" +] +uid 229,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,38625,46750,39375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45250,38625,46000,39375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +) +xt "47000,38600,49800,39800" +st "CLK" +blo "47000,39600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*30 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "48625,41000,49375,41750" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +) +xt "48000,40000,50800,41200" +st "CLR" +blo "48000,41000" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*31 (CptPort +uid 234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 235,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "52000,34625,52750,35375" +) +tg (CPTG +uid 236,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 237,0 +va (VaSet +) +xt "49400,34500,51000,35700" +st "Q" +ju 2 +blo "51000,35500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 239,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,33000,52000,41000" +) +showPorts 0 +oxt "33000,15000,39000,23000" +ttg (MlTextGroup +uid 240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 241,0 +va (VaSet +font "Verdana,8,1" +) +xt "52600,36700,58600,37700" +st "sequential" +blo "52600,37500" +tm "BdLibraryNameMgr" +) +*33 (Text +uid 242,0 +va (VaSet +font "Verdana,8,1" +) +xt "52600,37700,54900,38700" +st "DFF" +blo "52600,38500" +tm "CptNameMgr" +) +*34 (Text +uid 243,0 +va (VaSet +font "Verdana,8,1" +) +xt "52600,38700,55100,39700" +st "U_0" +blo "52600,39500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 244,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 245,0 +text (MLText +uid 246,0 +va (VaSet +font "Verdana,8,0" +) +xt "53000,41600,67100,42600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "46250,39250,47750,40750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*35 (SaComponent +uid 318,0 +optionalChildren [ +*36 (CptPort +uid 306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 307,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,27625,28750,28375" +) +tg (CPTG +uid 308,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 309,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "22800,27600,27000,28800" +st "xorOut" +ju 2 +blo "27000,28600" +) +s (Text +uid 328,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "27000,28800,27000,28800" +ju 2 +blo "27000,28800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*37 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 311,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19631,29625,20381,30375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,29450,23833,30650" +st "in2" +blo "21533,30450" +) +s (Text +uid 329,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,30650,21533,30650" +blo "21533,30650" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*38 (CptPort +uid 314,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19632,25625,20382,26375" +) +tg (CPTG +uid 316,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 317,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,25450,23833,26650" +st "in1" +blo "21533,26450" +) +s (Text +uid 330,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,26650,21533,26650" +blo "21533,26650" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 319,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,25000,28000,31000" +) +showPorts 0 +oxt "34000,15000,42000,21000" +ttg (MlTextGroup +uid 320,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 321,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,30700,23700,31700" +st "gates" +blo "20600,31500" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 322,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,31700,23300,32700" +st "xor2" +blo "20600,32500" +tm "CptNameMgr" +) +*41 (Text +uid 323,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,32700,23100,33700" +st "U_1" +blo "20600,33500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 324,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 325,0 +text (MLText +uid 326,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,33600,34100,34600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 327,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,29250,21750,30750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 343,0 +optionalChildren [ +*43 (CptPort +uid 331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 332,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,16625,28750,17375" +) +tg (CPTG +uid 333,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 334,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "22800,16600,27000,17800" +st "xorOut" +ju 2 +blo "27000,17600" +) +s (Text +uid 353,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "27000,17800,27000,17800" +ju 2 +blo "27000,17800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*44 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19631,18625,20381,19375" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 338,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,18450,23833,19650" +st "in2" +blo "21533,19450" +) +s (Text +uid 354,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,19650,21533,19650" +blo "21533,19650" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*45 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19632,14625,20382,15375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,14450,23833,15650" +st "in1" +blo "21533,15450" +) +s (Text +uid 355,0 +sl 0 +va (VaSet +isHidden 1 +) +xt "21533,15650,21533,15650" +blo "21533,15650" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 344,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,14000,28000,20000" +) +showPorts 0 +oxt "34000,15000,42000,21000" +ttg (MlTextGroup +uid 345,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 346,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,19700,23700,20700" +st "gates" +blo "20600,20500" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 347,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,20700,23300,21700" +st "xor2" +blo "20600,21500" +tm "CptNameMgr" +) +*48 (Text +uid 348,0 +va (VaSet +font "Verdana,8,1" +) +xt "20600,21700,23100,22700" +st "U_2" +blo "20600,22500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 349,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 350,0 +text (MLText +uid 351,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,22600,34100,23600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 352,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,18250,21750,19750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +archFileType "UNKNOWN" +) +*49 (SaComponent +uid 368,0 +optionalChildren [ +*50 (CptPort +uid 356,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 357,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "35250,32625,36000,33375" +) +tg (CPTG +uid 358,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 359,0 +va (VaSet +isHidden 1 +) +xt "36000,32600,38300,33800" +st "in1" +blo "36000,33600" +) +s (Text +uid 523,0 +va (VaSet +isHidden 1 +) +xt "36000,33800,36000,33800" +blo "36000,33800" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*51 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 361,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "35250,36625,36000,37375" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 363,0 +va (VaSet +isHidden 1 +) +xt "36000,36600,38300,37800" +st "in2" +blo "36000,37600" +) +s (Text +uid 524,0 +va (VaSet +isHidden 1 +) +xt "36000,37800,36000,37800" +blo "36000,37800" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*52 (CptPort +uid 364,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 365,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42950,34625,43700,35375" +) +tg (CPTG +uid 366,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 367,0 +va (VaSet +isHidden 1 +) +xt "40000,34550,43000,35750" +st "out1" +ju 2 +blo "43000,35550" +) +s (Text +uid 525,0 +va (VaSet +isHidden 1 +) +xt "43000,35750,43000,35750" +ju 2 +blo "43000,35750" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 369,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,32000,43000,38000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 370,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 371,0 +va (VaSet +font "Verdana,8,1" +) +xt "36600,37700,39700,38700" +st "gates" +blo "36600,38500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 372,0 +va (VaSet +font "Verdana,8,1" +) +xt "36600,38700,39500,39700" +st "and2" +blo "36600,39500" +tm "CptNameMgr" +) +*55 (Text +uid 373,0 +va (VaSet +font "Verdana,8,1" +) +xt "36600,39700,39100,40700" +st "U_3" +blo "36600,40500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 374,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 375,0 +text (MLText +uid 376,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "36000,41600,50100,42600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 377,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,36250,37750,37750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (SaComponent +uid 390,0 +optionalChildren [ +*57 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,9625,34000,10375" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 381,0 +va (VaSet +isHidden 1 +) +xt "34000,9600,36300,10800" +st "in1" +blo "34000,10600" +) +s (Text +uid 526,0 +va (VaSet +isHidden 1 +) +xt "34000,10800,34000,10800" +blo "34000,10800" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 383,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 384,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 385,0 +va (VaSet +isHidden 1 +) +xt "34000,13600,36300,14800" +st "in2" +blo "34000,14600" +) +s (Text +uid 527,0 +va (VaSet +isHidden 1 +) +xt "34000,14800,34000,14800" +blo "34000,14800" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*59 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40950,11625,41700,12375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +isHidden 1 +) +xt "38000,11550,41000,12750" +st "out1" +ju 2 +blo "41000,12550" +) +s (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "41000,12750,41000,12750" +ju 2 +blo "41000,12750" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 391,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,9000,41000,15000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 392,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 393,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,14700,37700,15700" +st "gates" +blo "34600,15500" +tm "BdLibraryNameMgr" +) +*61 (Text +uid 394,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,15700,37500,16700" +st "and2" +blo "34600,16500" +tm "CptNameMgr" +) +*62 (Text +uid 395,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,16700,37100,17700" +st "U_4" +blo "34600,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 396,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 397,0 +text (MLText +uid 398,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "34000,18600,48100,19600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 399,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "34250,13250,35750,14750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*63 (SaComponent +uid 409,0 +optionalChildren [ +*64 (CptPort +uid 400,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 401,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "11250,14625,12000,15375" +) +tg (CPTG +uid 402,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 403,0 +va (VaSet +isHidden 1 +) +xt "12000,14700,14300,15900" +st "in1" +blo "12000,15700" +) +s (Text +uid 419,0 +va (VaSet +) +xt "12000,15900,12000,15900" +blo "12000,15900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 404,0 +optionalChildren [ +*66 (Circle +uid 408,0 +va (VaSet +fg "0,65535,0" +) +xt "17000,14625,17750,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 405,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "17750,14625,18500,15375" +) +tg (CPTG +uid 406,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 407,0 +va (VaSet +isHidden 1 +) +xt "13750,14700,16750,15900" +st "out1" +ju 2 +blo "16750,15700" +) +s (Text +uid 420,0 +va (VaSet +) +xt "16750,15900,16750,15900" +ju 2 +blo "16750,15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 410,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "12000,12000,17000,18000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 411,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 412,0 +va (VaSet +font "Verdana,8,1" +) +xt "11910,17700,15010,18700" +st "gates" +blo "11910,18500" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 413,0 +va (VaSet +font "Verdana,8,1" +) +xt "11910,18700,16110,19700" +st "inverter" +blo "11910,19500" +tm "CptNameMgr" +) +*69 (Text +uid 414,0 +va (VaSet +font "Verdana,8,1" +) +xt "11910,19700,14410,20700" +st "U_5" +blo "11910,20500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 415,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 416,0 +text (MLText +uid 417,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "12000,20600,26100,21600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 418,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "12250,16250,13750,17750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*70 (SaComponent +uid 421,0 +optionalChildren [ +*71 (CptPort +uid 431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 432,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "24250,36625,25000,37375" +) +tg (CPTG +uid 433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +) +xt "25000,36700,27300,37900" +st "in1" +blo "25000,37700" +) +s (Text +uid 435,0 +va (VaSet +) +xt "25000,37900,25000,37900" +blo "25000,37900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*72 (CptPort +uid 436,0 +optionalChildren [ +*73 (Circle +uid 441,0 +va (VaSet +fg "0,65535,0" +) +xt "30000,36625,30750,37375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 437,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "30750,36625,31500,37375" +) +tg (CPTG +uid 438,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 439,0 +va (VaSet +isHidden 1 +) +xt "26750,36700,29750,37900" +st "out1" +ju 2 +blo "29750,37700" +) +s (Text +uid 440,0 +va (VaSet +) +xt "29750,37900,29750,37900" +ju 2 +blo "29750,37900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 422,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,34000,30000,40000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 424,0 +va (VaSet +font "Verdana,8,1" +) +xt "24910,39700,28010,40700" +st "gates" +blo "24910,40500" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 425,0 +va (VaSet +font "Verdana,8,1" +) +xt "24910,40700,29110,41700" +st "inverter" +blo "24910,41500" +tm "CptNameMgr" +) +*76 (Text +uid 426,0 +va (VaSet +font "Verdana,8,1" +) +xt "24910,41700,27410,42700" +st "U_6" +blo "24910,42500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "25000,42600,39100,43600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 430,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,38250,26750,39750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*77 (Net +uid 442,0 +decl (Decl +n "out1" +t "std_uLogic" +o 8 +suid 8,0 +) +declText (MLText +uid 443,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,6200,56000,7000" +st "SIGNAL out1 : std_uLogic +" +) +) +*78 (Net +uid 448,0 +decl (Decl +n "xorOut" +t "std_ulogic" +o 11 +suid 9,0 +) +declText (MLText +uid 449,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,8600,56000,9400" +st "SIGNAL xorOut : std_ulogic +" +) +) +*79 (Net +uid 454,0 +decl (Decl +n "out2" +t "std_uLogic" +o 9 +suid 10,0 +) +declText (MLText +uid 455,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,7000,56000,7800" +st "SIGNAL out2 : std_uLogic +" +) +) +*80 (Net +uid 466,0 +decl (Decl +n "out3" +t "std_uLogic" +o 10 +suid 11,0 +) +declText (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,7800,56000,8600" +st "SIGNAL out3 : std_uLogic +" +) +) +*81 (Net +uid 472,0 +decl (Decl +n "xorOut1" +t "std_ulogic" +o 12 +suid 12,0 +) +declText (MLText +uid 473,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,9400,56000,10200" +st "SIGNAL xorOut1 : std_ulogic +" +) +) +*82 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,39000,46000,42000" +pts [ +"0,42000" +"44000,42000" +"44000,39000" +"46000,39000" +] +) +start &1 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,40800,5400,42000" +st "clock" +blo "2000,41800" +tm "WireNameMgr" +) +) +on &2 +) +*83 (Wire +uid 29,0 +optionalChildren [ +*84 (BdJunction +uid 482,0 +ps "OnConnectorStrategy" +shape (Circle +uid 483,0 +va (VaSet +vasetType 1 +) +xt "5600,9600,6400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,10000,34000,10000" +pts [ +"0,10000" +"34000,10000" +] +) +start &3 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,8800,4200,10000" +st "eni" +blo "2000,9800" +tm "WireNameMgr" +) +) +on &4 +) +*85 (Wire +uid 43,0 +optionalChildren [ +*86 (BdJunction +uid 488,0 +ps "OnConnectorStrategy" +shape (Circle +uid 489,0 +va (VaSet +vasetType 1 +) +xt "55600,34600,56400,35400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "52000,35000,61000,35000" +pts [ +"61000,35000" +"52000,35000" +] +) +start &5 +end &31 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "60000,33800,61900,35000" +st "Qi" +blo "60000,34800" +tm "WireNameMgr" +) +) +on &6 +) +*87 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,37000,25000,37000" +pts [ +"0,37000" +"25000,37000" +] +) +start &7 +end &71 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,35800,4700,37000" +st "RaZ" +blo "2000,36800" +tm "WireNameMgr" +) +) +on &8 +) +*88 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "40950,12000,60000,12000" +pts [ +"60000,12000" +"40950,12000" +] +) +start &9 +end &59 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "59000,10800,62400,12000" +st "RCOi" +blo "59000,11800" +tm "WireNameMgr" +) +) +on &10 +) +*89 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,41000,49000,43000" +pts [ +"0,43000" +"49000,43000" +"49000,41000" +] +) +start &11 +end &30 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,41800,5300,43000" +st "reset" +blo "2000,42800" +tm "WireNameMgr" +) +) +on &12 +) +*90 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,15000,12000,15000" +pts [ +"0,15000" +"12000,15000" +] +) +start &13 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,13800,3900,15000" +st "up" +blo "2000,14800" +tm "WireNameMgr" +) +) +on &14 +) +*91 (Wire +uid 444,0 +shape (OrthoPolyLine +uid 445,0 +va (VaSet +vasetType 3 +) +xt "17750,15000,20382,15000" +pts [ +"17750,15000" +"20382,15000" +] +) +start &65 +end &45 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 446,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 447,0 +va (VaSet +isHidden 1 +) +xt "19750,13800,22750,15000" +st "out1" +blo "19750,14800" +tm "WireNameMgr" +) +s (Text +uid 529,0 +va (VaSet +isHidden 1 +) +xt "19750,15000,19750,15000" +blo "19750,15000" +tm "SignalTypeMgr" +) +) +on &77 +) +*92 (Wire +uid 450,0 +shape (OrthoPolyLine +uid 451,0 +va (VaSet +vasetType 3 +) +xt "28000,14000,34000,17000" +pts [ +"28000,17000" +"30000,17000" +"30000,14000" +"34000,14000" +] +) +start &43 +end &58 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 452,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 453,0 +va (VaSet +isHidden 1 +) +xt "30000,15800,34200,17000" +st "xorOut" +blo "30000,16800" +tm "WireNameMgr" +) +s (Text +uid 530,0 +va (VaSet +isHidden 1 +) +xt "30000,17000,30000,17000" +blo "30000,17000" +tm "SignalTypeMgr" +) +) +on &78 +) +*93 (Wire +uid 456,0 +shape (OrthoPolyLine +uid 457,0 +va (VaSet +vasetType 3 +) +xt "42950,35000,46000,35000" +pts [ +"42950,35000" +"46000,35000" +] +) +start &52 +end &27 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 458,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 459,0 +va (VaSet +isHidden 1 +) +xt "44950,33800,47950,35000" +st "out2" +blo "44950,34800" +tm "WireNameMgr" +) +s (Text +uid 531,0 +va (VaSet +isHidden 1 +) +xt "44950,35000,44950,35000" +blo "44950,35000" +tm "SignalTypeMgr" +) +) +on &79 +) +*94 (Wire +uid 468,0 +shape (OrthoPolyLine +uid 469,0 +va (VaSet +vasetType 3 +) +xt "30750,37000,36000,37000" +pts [ +"30750,37000" +"36000,37000" +] +) +start &72 +end &51 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 470,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 471,0 +va (VaSet +isHidden 1 +) +xt "32750,35800,35750,37000" +st "out3" +blo "32750,36800" +tm "WireNameMgr" +) +s (Text +uid 532,0 +va (VaSet +isHidden 1 +) +xt "32750,37000,32750,37000" +blo "32750,37000" +tm "SignalTypeMgr" +) +) +on &80 +) +*95 (Wire +uid 474,0 +shape (OrthoPolyLine +uid 475,0 +va (VaSet +vasetType 3 +) +xt "28000,28000,36000,33000" +pts [ +"28000,28000" +"32000,28000" +"32000,33000" +"36000,33000" +] +) +start &36 +end &50 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 476,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 477,0 +va (VaSet +isHidden 1 +) +xt "30000,26800,34900,28000" +st "xorOut1" +blo "30000,27800" +tm "WireNameMgr" +) +s (Text +uid 533,0 +va (VaSet +isHidden 1 +) +xt "30000,28000,30000,28000" +blo "30000,28000" +tm "SignalTypeMgr" +) +) +on &81 +) +*96 (Wire +uid 478,0 +shape (OrthoPolyLine +uid 479,0 +va (VaSet +vasetType 3 +) +xt "6000,10000,20381,30000" +pts [ +"6000,10000" +"6000,30000" +"20381,30000" +] +) +start &84 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 480,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 481,0 +va (VaSet +) +xt "17381,28800,19581,30000" +st "eni" +blo "17381,29800" +tm "WireNameMgr" +) +) +on &4 +) +*97 (Wire +uid 484,0 +optionalChildren [ +*98 (BdJunction +uid 494,0 +ps "OnConnectorStrategy" +shape (Circle +uid 495,0 +va (VaSet +vasetType 1 +) +xt "15600,22600,16400,23400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 485,0 +va (VaSet +vasetType 3 +) +xt "16000,19000,56000,35000" +pts [ +"56000,35000" +"56000,23000" +"16000,23000" +"16000,19000" +"20381,19000" +] +) +start &86 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 486,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 487,0 +va (VaSet +) +xt "17381,17800,19281,19000" +st "Qi" +blo "17381,18800" +tm "WireNameMgr" +) +) +on &6 +) +*99 (Wire +uid 490,0 +shape (OrthoPolyLine +uid 491,0 +va (VaSet +vasetType 3 +) +xt "16000,23000,20382,26000" +pts [ +"20382,26000" +"16000,26000" +"16000,23000" +] +) +start &38 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 493,0 +va (VaSet +) +xt "17382,24800,19282,26000" +st "Qi" +blo "17382,25800" +tm "WireNameMgr" +) +) +on &6 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *100 (PackageList +uid 153,0 +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +uid 154,0 +va (VaSet +font "Verdana,9,1" +) +xt "1000,-2400,8600,-1200" +st "Package List" +blo "1000,-1400" +) +*102 (MLText +uid 155,0 +va (VaSet +) +xt "1000,-1200,20000,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 156,0 +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 157,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*104 (Text +uid 158,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*105 (MLText +uid 159,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*106 (Text +uid 160,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*107 (MLText +uid 161,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*108 (Text +uid 162,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*109 (MLText +uid 163,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1544,928" +viewArea "-30688,-5947,66758,53522" +cachedDiagramExtent "-6400,-3000,67100,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 533,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*117 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*120 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*124 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*126 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*128 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*130 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "39000,-3000,46400,-1800" +st "Declarations" +blo "39000,-2000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "39000,-1800,42700,-600" +st "Ports:" +blo "39000,-800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "39000,-3000,44200,-1800" +st "Pre User:" +blo "39000,-2000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "39000,-3000,39000,-3000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "39000,5000,48500,6200" +st "Diagram Signals:" +blo "39000,6000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "39000,-3000,45400,-1800" +st "Post User:" +blo "39000,-2000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "39000,-3000,39000,-3000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *131 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*132 (RefLabelRowHdr +) +*133 (TitleRowHdr +) +*134 (FilterRowHdr +) +*135 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*136 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*137 (GroupColHdr +tm "GroupColHdrMgr" +) +*138 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*139 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*140 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*141 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*142 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*143 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*144 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 107,0 +) +*145 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 109,0 +) +*146 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 111,0 +) +*147 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 113,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 115,0 +) +*149 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +suid 3,0 +) +) +uid 117,0 +) +*150 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 119,0 +) +*151 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 8 +suid 8,0 +) +) +uid 460,0 +) +*152 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xorOut" +t "std_ulogic" +o 11 +suid 9,0 +) +) +uid 462,0 +) +*153 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out2" +t "std_uLogic" +o 9 +suid 10,0 +) +) +uid 464,0 +) +*154 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out3" +t "std_uLogic" +o 10 +suid 11,0 +) +) +uid 496,0 +) +*155 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xorOut1" +t "std_ulogic" +o 12 +suid 12,0 +) +) +uid 498,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 179,0 +optionalChildren [ +*156 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *157 (MRCItem +litem &131 +pos 12 +dimension 20 +) +uid 181,0 +optionalChildren [ +*158 (MRCItem +litem &132 +pos 0 +dimension 20 +uid 182,0 +) +*159 (MRCItem +litem &133 +pos 1 +dimension 23 +uid 183,0 +) +*160 (MRCItem +litem &134 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*161 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 108,0 +) +*162 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 110,0 +) +*163 (MRCItem +litem &146 +pos 2 +dimension 20 +uid 112,0 +) +*164 (MRCItem +litem &147 +pos 3 +dimension 20 +uid 114,0 +) +*165 (MRCItem +litem &148 +pos 4 +dimension 20 +uid 116,0 +) +*166 (MRCItem +litem &149 +pos 5 +dimension 20 +uid 118,0 +) +*167 (MRCItem +litem &150 +pos 6 +dimension 20 +uid 120,0 +) +*168 (MRCItem +litem &151 +pos 7 +dimension 20 +uid 461,0 +) +*169 (MRCItem +litem &152 +pos 8 +dimension 20 +uid 463,0 +) +*170 (MRCItem +litem &153 +pos 9 +dimension 20 +uid 465,0 +) +*171 (MRCItem +litem &154 +pos 10 +dimension 20 +uid 497,0 +) +*172 (MRCItem +litem &155 +pos 11 +dimension 20 +uid 499,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 185,0 +optionalChildren [ +*173 (MRCItem +litem &135 +pos 0 +dimension 20 +uid 186,0 +) +*174 (MRCItem +litem &137 +pos 1 +dimension 50 +uid 187,0 +) +*175 (MRCItem +litem &138 +pos 2 +dimension 100 +uid 188,0 +) +*176 (MRCItem +litem &139 +pos 3 +dimension 50 +uid 189,0 +) +*177 (MRCItem +litem &140 +pos 4 +dimension 100 +uid 190,0 +) +*178 (MRCItem +litem &141 +pos 5 +dimension 100 +uid 191,0 +) +*179 (MRCItem +litem &142 +pos 6 +dimension 50 +uid 192,0 +) +*180 (MRCItem +litem &143 +pos 7 +dimension 80 +uid 193,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 180,0 +vaOverrides [ +] +) +] +) +uid 165,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *181 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*182 (RefLabelRowHdr +) +*183 (TitleRowHdr +) +*184 (FilterRowHdr +) +*185 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*186 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*187 (GroupColHdr +tm "GroupColHdrMgr" +) +*188 (NameColHdr +tm "GenericNameColHdrMgr" +) +*189 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*190 (InitColHdr +tm "GenericValueColHdrMgr" +) +*191 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*192 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*193 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *194 (MRCItem +litem &181 +pos 0 +dimension 20 +) +uid 209,0 +optionalChildren [ +*195 (MRCItem +litem &182 +pos 0 +dimension 20 +uid 210,0 +) +*196 (MRCItem +litem &183 +pos 1 +dimension 23 +uid 211,0 +) +*197 (MRCItem +litem &184 +pos 2 +hidden 1 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*198 (MRCItem +litem &185 +pos 0 +dimension 20 +uid 214,0 +) +*199 (MRCItem +litem &187 +pos 1 +dimension 50 +uid 215,0 +) +*200 (MRCItem +litem &188 +pos 2 +dimension 100 +uid 216,0 +) +*201 (MRCItem +litem &189 +pos 3 +dimension 100 +uid 217,0 +) +*202 (MRCItem +litem &190 +pos 4 +dimension 50 +uid 218,0 +) +*203 (MRCItem +litem &191 +pos 5 +dimension 50 +uid 219,0 +) +*204 (MRCItem +litem &192 +pos 6 +dimension 80 +uid 220,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 208,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/cpt1bit/symbol.sb b/Cursor/hds/cpt1bit/symbol.sb new file mode 100644 index 0000000..8729cc5 --- /dev/null +++ b/Cursor/hds/cpt1bit/symbol.sb @@ -0,0 +1,1737 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 5 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 0 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 3 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "cpt1bit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "14:02:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "cpt1bit" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt1bit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:02:22" +) +(vvPair +variable "unit" +value "cpt1bit" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,19800,12700" +st "clock" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,16000,21375,16750" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,12300,21700,15000" +st "eni" +blo "21500,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59500,4800" +st "eni : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26000,6625,26750,7375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "22900,6300,25000,7700" +st "Qi" +ju 2 +blo "25000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,59500,7200" +st "Qi : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,19200,7700" +st "RaZ" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59500,3200" +st "RaZ : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,1250,21375,2000" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,3000,21700,6800" +st "RCOi" +ju 2 +blo "21500,3000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,58500,8000" +st "RCOi : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,20100,13700" +st "reset" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,4625,15000,5375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,4300,18400,5700" +st "up" +blo "16000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,59500,6400" +st "up : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +) +*73 (CommentGraphic +uid 231,0 +shape (CustomPolygon +pts [ +"15000,2000" +"26000,2000" +"26000,16000" +"15000,16000" +"15000,2000" +] +uid 232,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,2000,26000,16000" +) +) +] +shape (Rectangle +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "15000,2000,26000,16000" +fos 1 +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "19900,8800,23600,10000" +st "Cursor" +blo "19900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "19900,10000,24100,11200" +st "cpt1bit" +blo "19900,11000" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +sed 1 +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "compteurUpDownRsyncAll" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 232,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/cpt4bit/struct.bd b/Cursor/hds/cpt4bit/struct.bd new file mode 100644 index 0000000..cf02b36 --- /dev/null +++ b/Cursor/hds/cpt4bit/struct.bd @@ -0,0 +1,5395 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +instances [ +(Instance +name "U_0" +duLibraryName "Cursor" +duName "cpt1bit" +elements [ +] +mwi 0 +uid 251,0 +) +(Instance +name "U_1" +duLibraryName "Cursor" +duName "cpt1bit" +elements [ +] +mwi 0 +uid 261,0 +) +(Instance +name "U_2" +duLibraryName "Cursor" +duName "cpt1bit" +elements [ +] +mwi 0 +uid 307,0 +) +(Instance +name "U_3" +duLibraryName "Cursor" +duName "cpt1bit" +elements [ +] +mwi 0 +uid 347,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "cpt4bit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "14:11:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "cpt4bit" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:11:11" +) +(vvPair +variable "unit" +value "cpt4bit" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 164,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,7400,-3000,8600" +st "clock" +ju 2 +blo "-3000,8400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-7800,32000,-7000" +st "clock : std_ulogic +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-4000,75625,-2500,76375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-2500,76000,-2000,76000" +pts [ +"-2500,76000" +"-2000,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-7200,75400,-5000,76600" +st "eni" +ju 2 +blo "-5000,76400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-7000,32000,-6200" +st "eni : std_ulogic +" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "60500,25625,62000,26375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "60000,26000,60500,26000" +pts [ +"60000,26000" +"60500,26000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "63000,25400,64900,26600" +st "Qi" +blo "63000,26400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-4600,37000,-3800" +st "Qi : integer(3 DOWNTO 0) +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-5700,15400,-3000,16600" +st "RaZ" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-8600,32000,-7800" +st "RaZ : std_ulogic +" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "58500,4625,60000,5375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "58000,5000,58500,5000" +pts [ +"58000,5000" +"58500,5000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "61000,4400,64400,5600" +st "RCOi" +blo "61000,5400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-3800,32000,-3000" +st "RCOi : std_ulogic +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-6300,19400,-3000,20600" +st "reset" +ju 2 +blo "-3000,20400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-6200,32000,-5400" +st "reset : std_ulogic +" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-4900,23400,-3000,24600" +st "up" +ju 2 +blo "-3000,24400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-5400,32000,-4600" +st "up : std_ulogic +" +) +) +*15 (Grouping +uid 121,0 +optionalChildren [ +*16 (CommentText +uid 123,0 +shape (Rectangle +uid 124,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "29000,48000,46000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 125,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,48000,38400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "46000,44000,50000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,44000,49200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "29000,46000,46000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,46000,39200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "25000,46000,29000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,46000,27300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "46000,45000,66000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "46200,45200,55600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "50000,44000,66000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "50200,44000,51800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "25000,44000,46000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "30350,44400,40650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "25000,47000,29000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,47000,27300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "25000,48000,29000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "25200,48000,27900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "29000,47000,46000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "29200,47000,37300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "25000,44000,66000,49000" +) +oxt "14000,66000,55000,71000" +) +*26 (SaComponent +uid 251,0 +optionalChildren [ +*27 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 222,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,20625,28000,21375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,20300,32800,21700" +st "clock" +blo "29000,21500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*28 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,25000,34375,25750" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,21300,34700,24000" +st "eni" +blo "34500,24000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*29 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,15625,39750,16375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "Verdana,12,0" +) +xt "35900,15300,38000,16700" +st "Qi" +ju 2 +blo "38000,16500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +suid 3,0 +) +) +) +*30 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,15625,28000,16375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,15300,32200,16700" +st "RaZ" +blo "29000,16500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*31 (CptPort +uid 237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,10250,34375,11000" +) +tg (CPTG +uid 239,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 240,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,12000,34700,15800" +st "RCOi" +ju 2 +blo "34500,12000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +*32 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,21625,28000,22375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,21300,33100,22700" +st "reset" +blo "29000,22500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*33 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,13625,28000,14375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,13300,31400,14700" +st "up" +blo "29000,14500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +) +*34 (CommentGraphic +uid 249,0 +shape (CustomPolygon +pts [ +"28000,11000" +"39000,11000" +"39000,25000" +"28000,25000" +"28000,11000" +] +uid 250,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,11000,39000,25000" +) +oxt "15000,2000,26000,16000" +) +] +shape (Rectangle +uid 252,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "28000,11000,39000,25000" +fos 1 +) +oxt "15000,2000,26000,16000" +ttg (MlTextGroup +uid 253,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 254,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,17800,36600,19000" +st "Cursor" +blo "32900,18800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 255,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,19000,37100,20200" +st "cpt1bit" +blo "32900,20000" +tm "CptNameMgr" +) +*37 (Text +uid 256,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,20200,35400,21400" +st "U_0" +blo "32900,21200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 257,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 258,0 +text (MLText +uid 259,0 +va (VaSet +font "Courier New,8,0" +) +xt "2500,16000,2500,16000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 260,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,23250,29750,24750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*38 (SaComponent +uid 261,0 +optionalChildren [ +*39 (CptPort +uid 271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 272,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,36625,28000,37375" +) +tg (CPTG +uid 273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 274,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,36300,32800,37700" +st "clock" +blo "29000,37500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*40 (CptPort +uid 275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 276,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,41000,34375,41750" +) +tg (CPTG +uid 277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 278,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,37300,34700,40000" +st "eni" +blo "34500,40000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*41 (CptPort +uid 279,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 280,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,31625,39750,32375" +) +tg (CPTG +uid 281,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 282,0 +va (VaSet +font "Verdana,12,0" +) +xt "35900,31300,38000,32700" +st "Qi" +ju 2 +blo "38000,32500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +) +) +) +*42 (CptPort +uid 283,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 284,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,31625,28000,32375" +) +tg (CPTG +uid 285,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 286,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,31300,32200,32700" +st "RaZ" +blo "29000,32500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*43 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,26250,34375,27000" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 290,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,28000,34700,31800" +st "RCOi" +ju 2 +blo "34500,28000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*44 (CptPort +uid 291,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 292,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,37625,28000,38375" +) +tg (CPTG +uid 293,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 294,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,37300,33100,38700" +st "reset" +blo "29000,38500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*45 (CptPort +uid 295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 296,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,29625,28000,30375" +) +tg (CPTG +uid 297,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 298,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,29300,31400,30700" +st "up" +blo "29000,30500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +*46 (CommentGraphic +uid 299,0 +shape (CustomPolygon +pts [ +"28000,27000" +"39000,27000" +"39000,41000" +"28000,41000" +"28000,27000" +] +uid 300,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,27000,39000,41000" +) +oxt "15000,2000,26000,16000" +) +] +shape (Rectangle +uid 262,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "28000,27000,39000,41000" +fos 1 +) +oxt "15000,2000,26000,16000" +ttg (MlTextGroup +uid 263,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 264,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,33800,36600,35000" +st "Cursor" +blo "32900,34800" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 265,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,35000,37100,36200" +st "cpt1bit" +blo "32900,36000" +tm "CptNameMgr" +) +*49 (Text +uid 266,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,36200,35400,37400" +st "U_1" +blo "32900,37200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 267,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 268,0 +text (MLText +uid 269,0 +va (VaSet +font "Courier New,8,0" +) +xt "2500,32000,2500,32000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 270,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,39250,29750,40750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*50 (SaComponent +uid 307,0 +optionalChildren [ +*51 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,52625,28000,53375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,52300,32800,53700" +st "clock" +blo "29000,53500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*52 (CptPort +uid 321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,57000,34375,57750" +) +tg (CPTG +uid 323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 324,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,53300,34700,56000" +st "eni" +blo "34500,56000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*53 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,47625,39750,48375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +font "Verdana,12,0" +) +xt "35900,47300,38000,48700" +st "Qi" +ju 2 +blo "38000,48500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +) +) +) +*54 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,47625,28000,48375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,47300,32200,48700" +st "RaZ" +blo "29000,48500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*55 (CptPort +uid 333,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 334,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,42250,34375,43000" +) +tg (CPTG +uid 335,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 336,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,44000,34700,47800" +st "RCOi" +ju 2 +blo "34500,44000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*56 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,53625,28000,54375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,53300,33100,54700" +st "reset" +blo "29000,54500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*57 (CptPort +uid 341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,45625,28000,46375" +) +tg (CPTG +uid 343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 344,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,45300,31400,46700" +st "up" +blo "29000,46500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +*58 (CommentGraphic +uid 345,0 +shape (CustomPolygon +pts [ +"28000,43000" +"39000,43000" +"39000,57000" +"28000,57000" +"28000,43000" +] +uid 346,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,43000,39000,57000" +) +oxt "15000,2000,26000,16000" +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "28000,43000,39000,57000" +fos 1 +) +oxt "15000,2000,26000,16000" +ttg (MlTextGroup +uid 309,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 310,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,49800,36600,51000" +st "Cursor" +blo "32900,50800" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 311,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,51000,37100,52200" +st "cpt1bit" +blo "32900,52000" +tm "CptNameMgr" +) +*61 (Text +uid 312,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,52200,35400,53400" +st "U_2" +blo "32900,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 313,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 314,0 +text (MLText +uid 315,0 +va (VaSet +font "Courier New,8,0" +) +xt "2500,48000,2500,48000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,55250,29750,56750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*62 (SaComponent +uid 347,0 +optionalChildren [ +*63 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,68625,28000,69375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,68300,32800,69700" +st "clock" +blo "29000,69500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*64 (CptPort +uid 361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 362,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,73000,34375,73750" +) +tg (CPTG +uid 363,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 364,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,69300,34700,72000" +st "eni" +blo "34500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +) +) +) +*65 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,63625,39750,64375" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +va (VaSet +font "Verdana,12,0" +) +xt "35900,63300,38000,64700" +st "Qi" +ju 2 +blo "38000,64500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "std_ulogic" +o 6 +) +) +) +*66 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,63625,28000,64375" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 372,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,63300,32200,64700" +st "RaZ" +blo "29000,64500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +) +) +) +*67 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,58250,34375,59000" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,60000,34700,63800" +st "RCOi" +ju 2 +blo "34500,60000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +) +) +) +*68 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,69625,28000,70375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,69300,33100,70700" +st "reset" +blo "29000,70500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*69 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,61625,28000,62375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,61300,31400,62700" +st "up" +blo "29000,62500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +) +) +) +*70 (CommentGraphic +uid 385,0 +shape (CustomPolygon +pts [ +"28000,59000" +"39000,59000" +"39000,73000" +"28000,73000" +"28000,59000" +] +uid 386,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,59000,39000,73000" +) +oxt "15000,2000,26000,16000" +) +] +shape (Rectangle +uid 348,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "28000,59000,39000,73000" +fos 1 +) +oxt "15000,2000,26000,16000" +ttg (MlTextGroup +uid 349,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 350,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,65800,36600,67000" +st "Cursor" +blo "32900,66800" +tm "BdLibraryNameMgr" +) +*72 (Text +uid 351,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,67000,37100,68200" +st "cpt1bit" +blo "32900,68000" +tm "CptNameMgr" +) +*73 (Text +uid 352,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,68200,35400,69400" +st "U_3" +blo "32900,69200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 353,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 354,0 +text (MLText +uid 355,0 +va (VaSet +font "Courier New,8,0" +) +xt "2500,64000,2500,64000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 356,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,71250,29750,72750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*74 (Net +uid 405,0 +lang 11 +decl (Decl +n "RCOi1" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 406,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-1800,36000,-1000" +st "SIGNAL RCOi1 : std_ulogic +" +) +) +*75 (Net +uid 411,0 +lang 11 +decl (Decl +n "RCOi2" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 412,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-1000,36000,-200" +st "SIGNAL RCOi2 : std_ulogic +" +) +) +*76 (Net +uid 417,0 +lang 11 +decl (Decl +n "RCOi3" +t "std_ulogic" +o 10 +suid 10,0 +) +declText (MLText +uid 418,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-200,36000,600" +st "SIGNAL RCOi3 : std_ulogic +" +) +) +*77 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,6800,5400,8000" +st "clock" +blo "2000,7800" +tm "WireNameMgr" +) +) +on &2 +) +*78 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "-2000,73750,34000,76000" +pts [ +"-2000,76000" +"34000,76000" +"34000,73750" +] +) +start &3 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "0,74800,2200,76000" +st "eni" +blo "0,75800" +tm "WireNameMgr" +) +) +on &4 +) +*79 (Wire +uid 43,0 +optionalChildren [ +*80 (Ripper +uid 305,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"51000,26000" +"50000,25000" +] +uid 306,0 +va (VaSet +vasetType 3 +) +xt "50000,25000,51000,26000" +) +) +*81 (Ripper +uid 391,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"51000,26000" +"50000,27000" +] +uid 392,0 +va (VaSet +vasetType 3 +) +xt "50000,26000,51000,27000" +) +) +*82 (Ripper +uid 397,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"53000,26000" +"52000,27000" +] +uid 398,0 +va (VaSet +vasetType 3 +) +xt "52000,26000,53000,27000" +) +) +*83 (Ripper +uid 403,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"54000,26000" +"55000,27000" +] +uid 404,0 +va (VaSet +vasetType 3 +) +xt "54000,26000,55000,27000" +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,26000,60000,26000" +pts [ +"60000,26000" +"50000,26000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "59000,24800,65200,26000" +st "Qi : (3:0)" +blo "59000,25800" +tm "WireNameMgr" +) +) +on &6 +) +*84 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,4700,16000" +st "RaZ" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &8 +) +*85 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "34000,5000,58000,10250" +pts [ +"58000,5000" +"34000,5000" +"34000,10250" +] +) +start &9 +end &31 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "57000,3800,60400,5000" +st "RCOi" +blo "57000,4800" +tm "WireNameMgr" +) +) +on &10 +) +*86 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,18800,5300,20000" +st "reset" +blo "2000,19800" +tm "WireNameMgr" +) +) +on &12 +) +*87 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,22800,3900,24000" +st "up" +blo "2000,23800" +tm "WireNameMgr" +) +) +on &14 +) +*88 (Wire +uid 301,0 +shape (OrthoPolyLine +uid 302,0 +va (VaSet +vasetType 3 +) +xt "39750,16000,50000,25000" +pts [ +"39750,16000" +"50000,16000" +"50000,25000" +] +) +start &29 +end &80 +sat 32 +eat 32 +sl "(3)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 303,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 304,0 +va (VaSet +) +xt "41750,14800,45150,16000" +st "Qi(3)" +blo "41750,15800" +tm "WireNameMgr" +) +) +on &6 +) +*89 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +) +xt "39750,27000,50000,32000" +pts [ +"39750,32000" +"50000,32000" +"50000,27000" +] +) +start &41 +end &81 +sat 32 +eat 32 +sl "(2)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 390,0 +va (VaSet +) +xt "41750,30800,45150,32000" +st "Qi(2)" +blo "41750,31800" +tm "WireNameMgr" +) +) +on &6 +) +*90 (Wire +uid 393,0 +shape (OrthoPolyLine +uid 394,0 +va (VaSet +vasetType 3 +) +xt "39750,27000,52000,48000" +pts [ +"39750,48000" +"52000,48000" +"52000,27000" +] +) +start &53 +end &82 +sat 32 +eat 32 +sl "(1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 396,0 +va (VaSet +) +xt "41750,46800,45150,48000" +st "Qi(1)" +blo "41750,47800" +tm "WireNameMgr" +) +) +on &6 +) +*91 (Wire +uid 399,0 +shape (OrthoPolyLine +uid 400,0 +va (VaSet +vasetType 3 +) +xt "39750,27000,55000,64000" +pts [ +"39750,64000" +"55000,64000" +"55000,27000" +] +) +start &65 +end &83 +sat 32 +eat 32 +sl "(0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +) +xt "41750,62800,45150,64000" +st "Qi(0)" +blo "41750,63800" +tm "WireNameMgr" +) +) +on &6 +) +*92 (Wire +uid 407,0 +shape (OrthoPolyLine +uid 408,0 +va (VaSet +vasetType 3 +) +xt "34000,57750,34000,58250" +pts [ +"34000,58250" +"34000,57750" +] +) +start &67 +end &52 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +ro 270 +va (VaSet +) +xt "32800,53250,34000,57350" +st "RCOi1" +blo "33800,57350" +tm "WireNameMgr" +) +) +on &74 +) +*93 (Wire +uid 413,0 +shape (OrthoPolyLine +uid 414,0 +va (VaSet +vasetType 3 +) +xt "34000,41750,34000,42250" +pts [ +"34000,42250" +"34000,41750" +] +) +start &55 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 415,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 416,0 +ro 270 +va (VaSet +) +xt "32800,37250,34000,41350" +st "RCOi2" +blo "33800,41350" +tm "WireNameMgr" +) +) +on &75 +) +*94 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "34000,25750,34000,26250" +pts [ +"34000,26250" +"34000,25750" +] +) +start &43 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +ro 270 +va (VaSet +) +xt "32800,21250,34000,25350" +st "RCOi3" +blo "33800,25350" +tm "WireNameMgr" +) +) +on &76 +) +*95 (Wire +uid 425,0 +shape (OrthoPolyLine +uid 426,0 +va (VaSet +vasetType 3 +) +xt "21000,14000,27250,14000" +pts [ +"27250,14000" +"21000,14000" +] +) +start &33 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 429,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 430,0 +va (VaSet +) +xt "23250,12800,25150,14000" +st "up" +blo "23250,13800" +tm "WireNameMgr" +) +) +on &14 +) +*96 (Wire +uid 433,0 +shape (OrthoPolyLine +uid 434,0 +va (VaSet +vasetType 3 +) +xt "21000,16000,27250,16000" +pts [ +"27250,16000" +"21000,16000" +] +) +start &30 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 437,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 438,0 +va (VaSet +) +xt "23250,14800,25950,16000" +st "RaZ" +blo "23250,15800" +tm "WireNameMgr" +) +) +on &8 +) +*97 (Wire +uid 441,0 +shape (OrthoPolyLine +uid 442,0 +va (VaSet +vasetType 3 +) +xt "21000,21000,27250,21000" +pts [ +"27250,21000" +"21000,21000" +] +) +start &27 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 445,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 446,0 +va (VaSet +) +xt "22250,19800,25650,21000" +st "clock" +blo "22250,20800" +tm "WireNameMgr" +) +) +on &2 +) +*98 (Wire +uid 449,0 +shape (OrthoPolyLine +uid 450,0 +va (VaSet +vasetType 3 +) +xt "21000,22000,27250,22000" +pts [ +"27250,22000" +"21000,22000" +] +) +start &32 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 453,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 454,0 +va (VaSet +) +xt "22250,20800,25550,22000" +st "reset" +blo "22250,21800" +tm "WireNameMgr" +) +) +on &12 +) +*99 (Wire +uid 455,0 +shape (OrthoPolyLine +uid 456,0 +va (VaSet +vasetType 3 +) +xt "21000,30000,27250,30000" +pts [ +"27250,30000" +"21000,30000" +] +) +start &45 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 461,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 462,0 +va (VaSet +) +xt "23250,28800,25150,30000" +st "up" +blo "23250,29800" +tm "WireNameMgr" +) +) +on &14 +) +*100 (Wire +uid 463,0 +shape (OrthoPolyLine +uid 464,0 +va (VaSet +vasetType 3 +) +xt "21000,37000,27250,37000" +pts [ +"27250,37000" +"21000,37000" +] +) +start &39 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 469,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +) +xt "22250,35800,25650,37000" +st "clock" +blo "22250,36800" +tm "WireNameMgr" +) +) +on &2 +) +*101 (Wire +uid 471,0 +shape (OrthoPolyLine +uid 472,0 +va (VaSet +vasetType 3 +) +xt "21000,38000,27250,38000" +pts [ +"27250,38000" +"21000,38000" +] +) +start &44 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 477,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 478,0 +va (VaSet +) +xt "22250,36800,25550,38000" +st "reset" +blo "22250,37800" +tm "WireNameMgr" +) +) +on &12 +) +*102 (Wire +uid 479,0 +shape (OrthoPolyLine +uid 480,0 +va (VaSet +vasetType 3 +) +xt "21000,32000,27250,32000" +pts [ +"27250,32000" +"21000,32000" +] +) +start &42 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 486,0 +va (VaSet +) +xt "23250,30800,25950,32000" +st "RaZ" +blo "23250,31800" +tm "WireNameMgr" +) +) +on &8 +) +*103 (Wire +uid 487,0 +shape (OrthoPolyLine +uid 488,0 +va (VaSet +vasetType 3 +) +xt "21000,46000,27250,46000" +pts [ +"27250,46000" +"21000,46000" +] +) +start &57 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 493,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 494,0 +va (VaSet +) +xt "23250,44800,25150,46000" +st "up" +blo "23250,45800" +tm "WireNameMgr" +) +) +on &14 +) +*104 (Wire +uid 495,0 +shape (OrthoPolyLine +uid 496,0 +va (VaSet +vasetType 3 +) +xt "21000,53000,27250,53000" +pts [ +"27250,53000" +"21000,53000" +] +) +start &51 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 501,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 502,0 +va (VaSet +) +xt "22250,51800,25650,53000" +st "clock" +blo "22250,52800" +tm "WireNameMgr" +) +) +on &2 +) +*105 (Wire +uid 503,0 +shape (OrthoPolyLine +uid 504,0 +va (VaSet +vasetType 3 +) +xt "21000,54000,27250,54000" +pts [ +"27250,54000" +"21000,54000" +] +) +start &56 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 509,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 510,0 +va (VaSet +) +xt "22250,52800,25550,54000" +st "reset" +blo "22250,53800" +tm "WireNameMgr" +) +) +on &12 +) +*106 (Wire +uid 511,0 +shape (OrthoPolyLine +uid 512,0 +va (VaSet +vasetType 3 +) +xt "21000,48000,27250,48000" +pts [ +"27250,48000" +"21000,48000" +] +) +start &54 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 517,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 518,0 +va (VaSet +) +xt "23250,46800,25950,48000" +st "RaZ" +blo "23250,47800" +tm "WireNameMgr" +) +) +on &8 +) +*107 (Wire +uid 519,0 +shape (OrthoPolyLine +uid 520,0 +va (VaSet +vasetType 3 +) +xt "21000,62000,27250,62000" +pts [ +"27250,62000" +"21000,62000" +] +) +start &69 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 525,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 526,0 +va (VaSet +) +xt "23250,60800,25150,62000" +st "up" +blo "23250,61800" +tm "WireNameMgr" +) +) +on &14 +) +*108 (Wire +uid 527,0 +shape (OrthoPolyLine +uid 528,0 +va (VaSet +vasetType 3 +) +xt "21000,69000,27250,69000" +pts [ +"27250,69000" +"21000,69000" +] +) +start &63 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 534,0 +va (VaSet +) +xt "22250,67800,25650,69000" +st "clock" +blo "22250,68800" +tm "WireNameMgr" +) +) +on &2 +) +*109 (Wire +uid 535,0 +shape (OrthoPolyLine +uid 536,0 +va (VaSet +vasetType 3 +) +xt "21000,70000,27250,70000" +pts [ +"27250,70000" +"21000,70000" +] +) +start &68 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 542,0 +va (VaSet +) +xt "22250,68800,25550,70000" +st "reset" +blo "22250,69800" +tm "WireNameMgr" +) +) +on &12 +) +*110 (Wire +uid 543,0 +shape (OrthoPolyLine +uid 544,0 +va (VaSet +vasetType 3 +) +xt "21000,64000,27250,64000" +pts [ +"27250,64000" +"21000,64000" +] +) +start &66 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 549,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 550,0 +va (VaSet +) +xt "23250,62800,25950,64000" +st "RaZ" +blo "23250,63800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *111 (PackageList +uid 153,0 +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +uid 154,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*113 (MLText +uid 155,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 156,0 +stg "VerticalLayoutStrategy" +textVec [ +*114 (Text +uid 157,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*115 (Text +uid 158,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*116 (MLText +uid 159,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*117 (Text +uid 160,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*118 (MLText +uid 161,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*119 (Text +uid 162,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*120 (MLText +uid 163,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1544,928" +viewArea "-30688,-14720,91120,59616" +cachedDiagramExtent "-7200,-11000,66000,76600" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 556,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*125 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*126 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*128 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*129 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*131 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*132 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*134 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*135 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*137 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*139 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*141 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,-11000,27400,-9800" +st "Declarations" +blo "20000,-10000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,-9800,23700,-8600" +st "Ports:" +blo "20000,-8800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,-11000,25200,-9800" +st "Pre User:" +blo "20000,-10000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,-11000,20000,-11000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,-3000,29500,-1800" +st "Diagram Signals:" +blo "20000,-2000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,-11000,26400,-9800" +st "Post User:" +blo "20000,-10000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,-11000,20000,-11000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 14,0 +usingSuid 1 +emptyRow *142 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*143 (RefLabelRowHdr +) +*144 (TitleRowHdr +) +*145 (FilterRowHdr +) +*146 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*147 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*148 (GroupColHdr +tm "GroupColHdrMgr" +) +*149 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*150 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*151 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*152 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*153 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*154 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*155 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 107,0 +) +*156 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 109,0 +) +*157 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 111,0 +) +*158 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 113,0 +) +*159 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 115,0 +) +*160 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 117,0 +) +*161 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 119,0 +) +*162 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RCOi1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 551,0 +) +*163 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RCOi2" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 553,0 +) +*164 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RCOi3" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 555,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 179,0 +optionalChildren [ +*165 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *166 (MRCItem +litem &142 +pos 10 +dimension 20 +) +uid 181,0 +optionalChildren [ +*167 (MRCItem +litem &143 +pos 0 +dimension 20 +uid 182,0 +) +*168 (MRCItem +litem &144 +pos 1 +dimension 23 +uid 183,0 +) +*169 (MRCItem +litem &145 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*170 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 108,0 +) +*171 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 110,0 +) +*172 (MRCItem +litem &157 +pos 2 +dimension 20 +uid 112,0 +) +*173 (MRCItem +litem &158 +pos 3 +dimension 20 +uid 114,0 +) +*174 (MRCItem +litem &159 +pos 4 +dimension 20 +uid 116,0 +) +*175 (MRCItem +litem &160 +pos 5 +dimension 20 +uid 118,0 +) +*176 (MRCItem +litem &161 +pos 6 +dimension 20 +uid 120,0 +) +*177 (MRCItem +litem &162 +pos 7 +dimension 20 +uid 552,0 +) +*178 (MRCItem +litem &163 +pos 8 +dimension 20 +uid 554,0 +) +*179 (MRCItem +litem &164 +pos 9 +dimension 20 +uid 556,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 185,0 +optionalChildren [ +*180 (MRCItem +litem &146 +pos 0 +dimension 20 +uid 186,0 +) +*181 (MRCItem +litem &148 +pos 1 +dimension 50 +uid 187,0 +) +*182 (MRCItem +litem &149 +pos 2 +dimension 100 +uid 188,0 +) +*183 (MRCItem +litem &150 +pos 3 +dimension 50 +uid 189,0 +) +*184 (MRCItem +litem &151 +pos 4 +dimension 100 +uid 190,0 +) +*185 (MRCItem +litem &152 +pos 5 +dimension 100 +uid 191,0 +) +*186 (MRCItem +litem &153 +pos 6 +dimension 50 +uid 192,0 +) +*187 (MRCItem +litem &154 +pos 7 +dimension 80 +uid 193,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 180,0 +vaOverrides [ +] +) +] +) +uid 165,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *188 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*189 (RefLabelRowHdr +) +*190 (TitleRowHdr +) +*191 (FilterRowHdr +) +*192 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*193 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*194 (GroupColHdr +tm "GroupColHdrMgr" +) +*195 (NameColHdr +tm "GenericNameColHdrMgr" +) +*196 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*197 (InitColHdr +tm "GenericValueColHdrMgr" +) +*198 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*199 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*200 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *201 (MRCItem +litem &188 +pos 0 +dimension 20 +) +uid 209,0 +optionalChildren [ +*202 (MRCItem +litem &189 +pos 0 +dimension 20 +uid 210,0 +) +*203 (MRCItem +litem &190 +pos 1 +dimension 23 +uid 211,0 +) +*204 (MRCItem +litem &191 +pos 2 +hidden 1 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*205 (MRCItem +litem &192 +pos 0 +dimension 20 +uid 214,0 +) +*206 (MRCItem +litem &194 +pos 1 +dimension 50 +uid 215,0 +) +*207 (MRCItem +litem &195 +pos 2 +dimension 100 +uid 216,0 +) +*208 (MRCItem +litem &196 +pos 3 +dimension 100 +uid 217,0 +) +*209 (MRCItem +litem &197 +pos 4 +dimension 50 +uid 218,0 +) +*210 (MRCItem +litem &198 +pos 5 +dimension 50 +uid 219,0 +) +*211 (MRCItem +litem &199 +pos 6 +dimension 80 +uid 220,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 208,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/cpt4bit/symbol.sb b/Cursor/hds/cpt4bit/symbol.sb new file mode 100644 index 0000000..fea1f7f --- /dev/null +++ b/Cursor/hds/cpt4bit/symbol.sb @@ -0,0 +1,1715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 5 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 0 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 3 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 4 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "cpt4bit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "14:12:38" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "cpt4bit" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cpt4bit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:12:38" +) +(vvPair +variable "unit" +value "cpt4bit" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,19800,12700" +st "clock" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21625,16000,22375,16750" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "21300,12300,22700,15000" +st "eni" +blo "22500,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59500,4800" +st "eni : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "eni" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,7625,28750,8375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "24900,7300,27000,8700" +st "Qi" +ju 2 +blo "27000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,64000,7200" +st "Qi : OUT integer (3 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Qi" +t "integer" +b "(3 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,1625,15000,2375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,1300,19200,2700" +st "RaZ" +blo "16000,2500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59500,3200" +st "RaZ : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21625,-1750,22375,-1000" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "21300,0,22700,3800" +st "RCOi" +ju 2 +blo "22500,0" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,58500,8000" +st "RCOi : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RCOi" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,20100,13700" +st "reset" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,-375,15000,375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,-700,18400,700" +st "up" +blo "16000,500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,59500,6400" +st "up : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "up" +t "std_ulogic" +o 5 +suid 7,0 +) +) +) +] +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,-1000,28000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17900,5800,21600,7000" +st "Cursor" +blo "17900,6800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17900,7000,22100,8200" +st "cpt4bit" +blo "17900,8000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "compteurUpDownRsyncAll" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 202,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/test/interface b/Cursor/hds/test/interface new file mode 100644 index 0000000..4b68490 --- /dev/null +++ b/Cursor/hds/test/interface @@ -0,0 +1,1458 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "bar" +t "unsigned" +b "(15 DOWNTO 0)" +o 10 +suid 4,0 +) +) +uid 190,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 5,0 +) +) +uid 192,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +uid 194,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 191,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 193,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 195,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &33 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*47 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 97,0 +) +*48 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 98,0 +) +*49 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*50 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 101,0 +) +*51 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 102,0 +) +*52 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 103,0 +) +*53 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 104,0 +) +*54 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 105,0 +) +*55 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 106,0 +) +*56 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test" +) +(vvPair +variable "date" +value "10.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "10" +) +(vvPair +variable "entity_name" +value "test" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "10.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "09:46:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "test" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\test\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:46:14" +) +(vvPair +variable "unit" +value "test" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "Verdana,12,0" +) +xt "19100,10300,22000,11700" +st "bar" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 179,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,64000,4800" +st "bar : OUT unsigned (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "bar" +t "unsigned" +b "(15 DOWNTO 0)" +o 10 +suid 4,0 +) +) +) +*59 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,19800,13700" +st "clock" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 184,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59500,3200" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 5,0 +) +) +) +*60 (CptPort +uid 185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 187,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 188,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,20100,15700" +st "reset" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 189,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,9800,20850,11000" +st "Cursor" +blo "17150,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,11000,19850,12200" +st "test" +blo "17150,12000" +) +) +gi *61 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*62 (Grouping +uid 16,0 +optionalChildren [ +*63 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*75 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Position" +viewName "position.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 195,0 +activeModelName "Symbol:CDM" +) diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index bc67779..8ceaae9 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -2553,7 +2553,7 @@ second "" ) (pair first "hierLevel" -second "1" +second "3" ) (pair first "onPulldownMenu" @@ -4172,7 +4172,6 @@ second "" ] mwParamsVisibility (mwParamsVisibilityOptions ) -autoPopupExprBuilder 0 showExprBldrHint 0 searchStrings [ ] @@ -4181,7 +4180,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x200-0+0" +logWindowGeometry "600x200+920+0" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 @@ -6208,11 +6207,11 @@ yPos 0 width 1552 height 936 activeSidePanelTab 2 -activeLibraryTab 3 +activeLibraryTab 2 sidePanelSize 278 showUnixHiddenFiles 0 -componentBrowserXpos 569 -componentBrowserYpos 300 +componentBrowserXpos 158 +componentBrowserYpos 308 componentBrowserWidth 483 componentBrowserHeight 491 componentBrowserLibraryNames [ @@ -6230,6 +6229,7 @@ componentBrowserLibraryNames [ "std" "Memory_test" "ieee" +"Cursor" ] ) (SmPreferences diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk index 35db922..089b6c8 100644 --- a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -29,7 +29,7 @@ onShortcutBar 1 onPulldownMenu 1 onToolbar 1 enabled 1 -hierDepth 1 +hierDepth 3 subTasks [ (HDSTaskRef TaskName "Generate" diff --git a/TODO.txt b/TODO.txt new file mode 100644 index 0000000..e69de29