diff --git a/Cursor/hds/@driver/drivert.bd b/Cursor/hds/@driver/drivert.bd index 268499d..a07e3b8 100644 --- a/Cursor/hds/@driver/drivert.bd +++ b/Cursor/hds/@driver/drivert.bd @@ -11,20 +11,71 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] instances [ (Instance -name "U_0" -duLibraryName "Cursor" -duName "" +name "U_1" +duLibraryName "sequential" +duName "counterUpDownEnable" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +mwi 0 +uid 329,0 +) +(Instance +name "U_2" +duLibraryName "gates" +duName "logic1" elements [ ] mwi 0 -uid 258,0 +uid 343,0 +) +(Instance +name "U_3" +duLibraryName "Cursor" +duName "Motor_side" +elements [ +] +mwi 0 +uid 376,0 +) +(Instance +name "U_0" +duLibraryName "Cursor" +duName "Triangle_Controller" +elements [ +] +mwi 0 +uid 426,0 +) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "PWM" +elements [ +] +mwi 0 +uid 548,0 ) ] libraryRefs [ "ieee" +"gates" ] ) version "32.1" @@ -131,7 +182,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:19:41" +value "16:07:46" ) (vvPair variable "group" @@ -203,7 +254,7 @@ value "drivert" ) (vvPair variable "time" -value "15:19:41" +value "16:07:46" ) (vvPair variable "unit" @@ -247,16 +298,16 @@ optionalChildren [ uid 11,0 sl 0 ro 270 -xt "-2000,7625,-500,8375" +xt "3000,36625,4500,37375" ) (Line uid 12,0 sl 0 ro 270 -xt "-500,8000,0,8000" +xt "4500,37000,5000,37000" pts [ -"-500,8000" -"0,8000" +"4500,37000" +"5000,37000" ] ) ] @@ -271,10 +322,10 @@ f (Text uid 14,0 va (VaSet ) -xt "-6400,7400,-3000,8600" +xt "-1400,36400,2000,37600" st "clock" ju 2 -blo "-3000,8400" +blo "2000,37400" tm "WireNameMgr" ) ) @@ -291,6 +342,7 @@ suid 1,0 declText (MLText uid 22,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,4000,33500,4800" @@ -310,16 +362,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "60500,7625,62000,8375" +xt "93500,17625,95000,18375" ) (Line uid 26,0 sl 0 ro 270 -xt "60000,8000,60500,8000" +xt "93000,18000,93500,18000" pts [ -"60000,8000" -"60500,8000" +"93000,18000" +"93500,18000" ] ) ] @@ -334,9 +386,9 @@ f (Text uid 28,0 va (VaSet ) -xt "63000,7400,68100,8600" +xt "96000,17400,101100,18600" st "motorOn" -blo "63000,8400" +blo "96000,18400" tm "WireNameMgr" ) ) @@ -353,6 +405,7 @@ suid 2,0 declText (MLText uid 36,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,5600,33500,6400" @@ -372,16 +425,16 @@ optionalChildren [ uid 39,0 sl 0 ro 270 -xt "-2000,11625,-500,12375" +xt "26000,6625,27500,7375" ) (Line uid 40,0 sl 0 ro 270 -xt "-500,12000,0,12000" +xt "27500,7000,28000,7000" pts [ -"-500,12000" -"0,12000" +"27500,7000" +"28000,7000" ] ) ] @@ -396,10 +449,10 @@ f (Text uid 42,0 va (VaSet ) -xt "-6700,11400,-3000,12600" +xt "21300,6400,25000,7600" st "Power" ju 2 -blo "-3000,12400" +blo "25000,7400" tm "WireNameMgr" ) ) @@ -417,6 +470,7 @@ suid 3,0 declText (MLText uid 50,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,2400,38500,3200" @@ -436,16 +490,16 @@ optionalChildren [ uid 53,0 sl 0 ro 270 -xt "-2000,15625,-500,16375" +xt "3000,38625,4500,39375" ) (Line uid 54,0 sl 0 ro 270 -xt "-500,16000,0,16000" +xt "4500,39000,5000,39000" pts [ -"-500,16000" -"0,16000" +"4500,39000" +"5000,39000" ] ) ] @@ -460,10 +514,10 @@ f (Text uid 56,0 va (VaSet ) -xt "-6300,15400,-3000,16600" +xt "-1300,38400,2000,39600" st "reset" ju 2 -blo "-3000,16400" +blo "2000,39400" tm "WireNameMgr" ) ) @@ -480,6 +534,7 @@ suid 4,0 declText (MLText uid 64,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,4800,33500,5600" @@ -499,16 +554,16 @@ optionalChildren [ uid 67,0 sl 0 ro 270 -xt "60500,11625,62000,12375" +xt "93500,36625,95000,37375" ) (Line uid 68,0 sl 0 ro 270 -xt "60000,12000,60500,12000" +xt "93000,37000,93500,37000" pts [ -"60000,12000" -"60500,12000" +"93000,37000" +"93500,37000" ] ) ] @@ -523,9 +578,9 @@ f (Text uid 70,0 va (VaSet ) -xt "63000,11400,66500,12600" +xt "96000,36400,99500,37600" st "side1" -blo "63000,12400" +blo "96000,37400" tm "WireNameMgr" ) ) @@ -542,6 +597,7 @@ suid 5,0 declText (MLText uid 78,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,6400,33500,7200" @@ -561,16 +617,16 @@ optionalChildren [ uid 81,0 sl 0 ro 270 -xt "60500,15625,62000,16375" +xt "93500,40625,95000,41375" ) (Line uid 82,0 sl 0 ro 270 -xt "60000,16000,60500,16000" +xt "93000,41000,93500,41000" pts [ -"60000,16000" -"60500,16000" +"93000,41000" +"93500,41000" ] ) ] @@ -585,9 +641,9 @@ f (Text uid 84,0 va (VaSet ) -xt "63000,15400,66500,16600" +xt "96000,40400,99500,41600" st "side2" -blo "63000,16400" +blo "96000,41400" tm "WireNameMgr" ) ) @@ -604,6 +660,7 @@ suid 6,0 declText (MLText uid 92,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,7200,33500,8000" @@ -623,16 +680,16 @@ optionalChildren [ uid 95,0 sl 0 ro 270 -xt "-2000,19625,-500,20375" +xt "54000,37625,55500,38375" ) (Line uid 96,0 sl 0 ro 270 -xt "-500,20000,0,20000" +xt "55500,38000,56000,38000" pts [ -"-500,20000" -"0,20000" +"55500,38000" +"56000,38000" ] ) ] @@ -647,10 +704,10 @@ f (Text uid 98,0 va (VaSet ) -xt "-6500,19400,-3000,20600" +xt "49500,37400,53000,38600" st "SideL" ju 2 -blo "-3000,20400" +blo "53000,38400" tm "WireNameMgr" ) ) @@ -667,6 +724,7 @@ suid 7,0 declText (MLText uid 106,0 va (VaSet +isHidden 1 font "Courier New,8,0" ) xt "22000,3200,33500,4000" @@ -683,6 +741,7 @@ uid 124,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "29000,48000,46000,49000" @@ -691,11 +750,12 @@ oxt "18000,70000,35000,71000" text (MLText uid 125,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "29200,48000,38400,49000" +xt "29200,48000,39000,49000" st " by %user on %dd %month %year " @@ -715,6 +775,7 @@ uid 127,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "46000,44000,50000,45000" @@ -723,6 +784,7 @@ oxt "35000,66000,39000,67000" text (MLText uid 128,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -747,6 +809,7 @@ uid 130,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "29000,46000,46000,47000" @@ -755,6 +818,7 @@ oxt "18000,68000,35000,69000" text (MLText uid 131,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -779,6 +843,7 @@ uid 133,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "25000,46000,29000,47000" @@ -787,6 +852,7 @@ oxt "14000,68000,18000,69000" text (MLText uid 134,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -811,6 +877,7 @@ uid 136,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "46000,45000,66000,49000" @@ -819,6 +886,7 @@ oxt "35000,67000,55000,71000" text (MLText uid 137,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -842,6 +910,7 @@ uid 139,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "50000,44000,66000,45000" @@ -850,6 +919,7 @@ oxt "39000,66000,55000,67000" text (MLText uid 140,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -874,6 +944,7 @@ uid 142,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "25000,44000,46000,46000" @@ -882,6 +953,7 @@ oxt "14000,66000,35000,68000" text (MLText uid 143,0 va (VaSet +isHidden 1 fg "32768,0,0" ) xt "30350,44400,40650,45600" @@ -905,6 +977,7 @@ uid 145,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "25000,47000,29000,48000" @@ -913,6 +986,7 @@ oxt "14000,69000,18000,70000" text (MLText uid 146,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -937,6 +1011,7 @@ uid 148,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "25000,48000,29000,49000" @@ -945,6 +1020,7 @@ oxt "14000,70000,18000,71000" text (MLText uid 149,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -969,6 +1045,7 @@ uid 151,0 sl 0 va (VaSet vasetType 1 +isHidden 1 fg "65280,65280,46080" ) xt "29000,47000,46000,48000" @@ -977,6 +1054,7 @@ oxt "18000,69000,35000,70000" text (MLText uid 152,0 va (VaSet +isHidden 1 fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" @@ -999,6 +1077,7 @@ shape (GroupingShape uid 122,0 va (VaSet vasetType 1 +isHidden 1 fg "65535,65535,65535" lineStyle 2 lineWidth 2 @@ -1007,67 +1086,425 @@ xt "25000,44000,66000,49000" ) oxt "14000,66000,55000,71000" ) -*26 (Blk -uid 258,0 -shape (Rectangle -uid 259,0 +*26 (SaComponent +uid 329,0 +optionalChildren [ +*27 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +ro 90 va (VaSet vasetType 1 -fg "39936,56832,65280" -lineColor "0,0,32768" +fg "0,65535,0" +) +xt "19250,36625,20000,37375" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 308,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,36300,24800,37700" +st "clock" +blo "21000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*28 (CptPort +uid 309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 310,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,32625,36750,33375" +) +tg (CPTG +uid 311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 312,0 +va (VaSet +font "Verdana,12,0" +) +xt "28400,32300,35000,33700" +st "countOut" +ju 2 +blo "35000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +) +*29 (CptPort +uid 313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 314,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,38625,20000,39375" +) +tg (CPTG +uid 315,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 316,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,38300,25100,39700" +st "reset" +blo "21000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 3,0 +) +) +) +*30 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,30625,20000,31375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,30300,25300,31700" +st "down" +blo "21000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 4,0 +) +) +) +*31 (CptPort +uid 321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,28625,20000,29375" +) +tg (CPTG +uid 323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 324,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,28300,23400,29700" +st "up" +blo "21000,29500" +) +) +thePort (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +*32 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,34625,20000,35375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,34300,26100,35700" +st "enable" +blo "21000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 330,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" lineWidth 2 ) -xt "35000,13000,43000,23000" +xt "20000,25000,36000,41000" ) -oxt "0,0,8000,10000" +oxt "26000,0,42000,16000" ttg (MlTextGroup -uid 260,0 +uid 331,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*27 (Text -uid 261,0 +*33 (Text +uid 332,0 va (VaSet -font "Verdana,9,1" ) -xt "36600,16200,40300,17400" -st "Cursor" -blo "36600,17200" +xt "20300,41400,26900,42600" +st "sequential" +blo "20300,42400" tm "BdLibraryNameMgr" ) -*28 (Text -uid 262,0 +*34 (Text +uid 333,0 va (VaSet -font "Verdana,9,1" ) -xt "36600,17400,41400,18600" -st "" -blo "36600,18400" -tm "BlkNameMgr" +xt "20300,42600,33000,43800" +st "counterUpDownEnable" +blo "20300,43600" +tm "CptNameMgr" ) -*29 (Text -uid 263,0 +*35 (Text +uid 334,0 va (VaSet -font "Verdana,9,1" ) -xt "36600,18600,39100,19800" -st "U_0" -blo "36600,19600" +xt "20300,43800,23100,45000" +st "U_1" +blo "20300,44800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation -uid 264,0 +uid 335,0 ps "EdgeToEdgeStrategy" matrix (Matrix -uid 265,0 +uid 336,0 text (MLText -uid 266,0 +uid 337,0 va (VaSet -font "Courier New,8,0" ) -xt "36600,26200,36600,26200" +xt "20000,45400,37800,47800" +st "delay = gateDelay ( time ) +bitNb = 8 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +viewicon (ZoomableIcon +uid 338,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,39250,21750,40750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 343,0 +optionalChildren [ +*37 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "3625,32000,4375,32750" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +isHidden 1 +) +xt "5000,31000,9400,32200" +st "logic_1" +blo "5000,32000" +) +s (Text +uid 353,0 +va (VaSet +) +xt "5000,32200,5000,32200" +blo "5000,32200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 344,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "1000,26000,6000,32000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 345,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 346,0 +va (VaSet +font "Verdana,8,1" +) +xt "910,29700,4010,30700" +st "gates" +blo "910,30500" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 347,0 +va (VaSet +font "Verdana,8,1" +) +xt "910,30700,4410,31700" +st "logic1" +blo "910,31500" +tm "CptNameMgr" +) +*40 (Text +uid 348,0 +va (VaSet +font "Verdana,8,1" +) +xt "910,31700,3410,32700" +st "U_2" +blo "910,32500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 349,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 350,0 +text (MLText +uid 351,0 +va (VaSet +font "Verdana,8,0" +) +xt "1000,34600,1000,34600" ) header "" ) @@ -1075,34 +1512,380 @@ elements [ ] ) viewicon (ZoomableIcon -uid 267,0 +uid 352,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) -xt "35250,21250,36750,22750" +xt "1250,30250,2750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*41 (Net +uid 354,0 +decl (Decl +n "logic_1" +t "std_uLogic" +o 8 +suid 8,0 +) +declText (MLText +uid 355,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*42 (Blk +uid 376,0 +shape (Rectangle +uid 377,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "70000,34000,78000,44000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 378,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 379,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,37200,75300,38400" +st "Cursor" +blo "71600,38200" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 380,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,38400,78300,39600" +st "Motor_side" +blo "71600,39400" +tm "BlkNameMgr" +) +*45 (Text +uid 381,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,39600,74100,40800" +st "U_3" +blo "71600,40600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 382,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 383,0 +text (MLText +uid 384,0 +va (VaSet +font "Courier New,8,0" +) +xt "71600,47200,71600,47200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 385,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "70250,42250,71750,43750" iconName "UnknownFile.png" iconMaskName "UnknownFile.msk" ) viewiconposition 0 ) -*30 (Wire +*46 (Net +uid 414,0 +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 13,0 +) +declText (MLText +uid 415,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*47 (Blk +uid 426,0 +shape (Rectangle +uid 427,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,12000,32000,22000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 428,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 429,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,15200,29300,16400" +st "Cursor" +blo "25600,16200" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 430,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,16400,36300,17600" +st "Triangle_Controller" +blo "25600,17400" +tm "BlkNameMgr" +) +*50 (Text +uid 431,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,17600,28100,18800" +st "U_0" +blo "25600,18600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 432,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 433,0 +text (MLText +uid 434,0 +va (VaSet +font "Courier New,8,0" +) +xt "25600,25200,25600,25200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 435,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "24250,20250,25750,21750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +*51 (Net +uid 444,0 +decl (Decl +n "down" +t "std_uLogic" +o 11 +suid 15,0 +) +declText (MLText +uid 445,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*52 (Net +uid 452,0 +decl (Decl +n "up" +t "std_uLogic" +o 12 +suid 16,0 +) +declText (MLText +uid 453,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*53 (Blk +uid 548,0 +shape (Rectangle +uid 549,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "48000,14000,56000,24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 550,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 551,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,17200,53300,18400" +st "Cursor" +blo "49600,18200" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 552,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,18400,52800,19600" +st "PWM" +blo "49600,19400" +tm "BlkNameMgr" +) +*56 (Text +uid 553,0 +va (VaSet +font "Verdana,9,1" +) +xt "49600,19600,52100,20800" +st "U_4" +blo "49600,20600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 554,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 555,0 +text (MLText +uid 556,0 +va (VaSet +font "Courier New,8,0" +) +xt "49600,27200,49600,27200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 557,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "48250,22250,49750,23750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +*57 (Net +uid 574,0 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 12 +suid 19,0 +) +declText (MLText +uid 575,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*58 (Wire uid 15,0 +optionalChildren [ +*59 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "5600,36600,6400,37400" +radius 400 +) +) +] shape (OrthoPolyLine uid 16,0 va (VaSet vasetType 3 ) -xt "0,8000,10000,8000" +xt "5000,37000,19250,37000" pts [ -"0,8000" -"10000,8000" +"5000,37000" +"19250,37000" ] ) start &1 +end &27 +es 0 sat 32 -eat 16 +eat 32 st 0 sf 1 si 0 @@ -1115,30 +1898,32 @@ uid 20,0 va (VaSet isHidden 1 ) -xt "2000,6800,5400,8000" +xt "7000,35800,10400,37000" st "clock" -blo "2000,7800" +blo "7000,36800" tm "WireNameMgr" ) ) on &2 ) -*31 (Wire +*60 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "50000,8000,60000,8000" +xt "56000,18000,93000,18000" pts [ -"60000,8000" -"50000,8000" +"93000,18000" +"56000,18000" ] ) start &3 +end &53 sat 32 -eat 16 +eat 2 +stc 0 st 0 sf 1 si 0 @@ -1151,15 +1936,15 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "59000,6800,64100,8000" +xt "92000,16800,97100,18000" st "motorOn" -blo "59000,7800" +blo "92000,17800" tm "WireNameMgr" ) ) on &4 ) -*32 (Wire +*61 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -1167,16 +1952,20 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "0,12000,10000,12000" +xt "28000,7000,48000,16000" pts [ -"0,12000" -"10000,12000" +"28000,7000" +"45000,7000" +"45000,16000" +"48000,16000" ] ) start &5 +end &53 sat 32 -eat 16 +eat 1 sty 1 +stc 0 st 0 sf 1 si 0 @@ -1189,30 +1978,46 @@ uid 48,0 va (VaSet isHidden 1 ) -xt "2000,10800,10000,12000" +xt "30000,5800,38000,7000" st "Power : (7:0)" -blo "2000,11800" +blo "30000,6800" tm "WireNameMgr" ) ) on &6 ) -*33 (Wire +*62 (Wire uid 57,0 +optionalChildren [ +*63 (BdJunction +uid 572,0 +ps "OnConnectorStrategy" +shape (Circle +uid 573,0 +va (VaSet +vasetType 1 +) +xt "6600,38600,7400,39400" +radius 400 +) +) +] shape (OrthoPolyLine uid 58,0 va (VaSet vasetType 3 ) -xt "0,16000,10000,16000" +xt "5000,39000,19250,39000" pts [ -"0,16000" -"10000,16000" +"5000,39000" +"19250,39000" ] ) start &7 +end &29 +es 0 sat 32 -eat 16 +eat 32 st 0 sf 1 si 0 @@ -1225,30 +2030,31 @@ uid 62,0 va (VaSet isHidden 1 ) -xt "2000,14800,5300,16000" +xt "7000,37800,10300,39000" st "reset" -blo "2000,15800" +blo "7000,38800" tm "WireNameMgr" ) ) on &8 ) -*34 (Wire +*64 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 va (VaSet vasetType 3 ) -xt "50000,12000,60000,12000" +xt "78000,37000,93000,37000" pts [ -"60000,12000" -"50000,12000" +"93000,37000" +"78000,37000" ] ) start &9 +end &42 sat 32 -eat 16 +eat 2 st 0 sf 1 si 0 @@ -1261,30 +2067,31 @@ uid 76,0 va (VaSet isHidden 1 ) -xt "59000,10800,62500,12000" +xt "92000,35800,95500,37000" st "side1" -blo "59000,11800" +blo "92000,36800" tm "WireNameMgr" ) ) on &10 ) -*35 (Wire +*65 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 va (VaSet vasetType 3 ) -xt "50000,16000,60000,16000" +xt "78000,41000,93000,41000" pts [ -"60000,16000" -"50000,16000" +"93000,41000" +"78000,41000" ] ) start &11 +end &42 sat 32 -eat 16 +eat 2 st 0 sf 1 si 0 @@ -1297,30 +2104,31 @@ uid 90,0 va (VaSet isHidden 1 ) -xt "59000,14800,62500,16000" +xt "92000,39800,95500,41000" st "side2" -blo "59000,15800" +blo "92000,40800" tm "WireNameMgr" ) ) on &12 ) -*36 (Wire +*66 (Wire uid 99,0 shape (OrthoPolyLine uid 100,0 va (VaSet vasetType 3 ) -xt "0,20000,10000,20000" +xt "56000,38000,70000,38000" pts [ -"0,20000" -"10000,20000" +"56000,38000" +"70000,38000" ] ) start &13 +end &42 sat 32 -eat 16 +eat 1 st 0 sf 1 si 0 @@ -1333,14 +2141,487 @@ uid 104,0 va (VaSet isHidden 1 ) -xt "2000,18800,5500,20000" +xt "58000,36800,61500,38000" st "SideL" -blo "2000,19800" +blo "58000,37800" tm "WireNameMgr" ) ) on &14 ) +*67 (Wire +uid 356,0 +shape (OrthoPolyLine +uid 357,0 +va (VaSet +vasetType 3 +) +xt "4000,32000,19250,35000" +pts [ +"4000,32000" +"4000,35000" +"19250,35000" +] +) +start &37 +end &32 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 358,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 359,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "2800,29600,4000,34000" +st "logic_1" +blo "3800,34000" +tm "WireNameMgr" +) +s (Text +ro 270 +va (VaSet +isHidden 1 +) +xt "4000,34000,4000,34000" +blo "4000,34000" +tm "SignalTypeMgr" +) +) +on &41 +) +*68 (Wire +uid 406,0 +shape (OrthoPolyLine +uid 407,0 +va (VaSet +vasetType 3 +) +xt "56000,22000,70000,36000" +pts [ +"56000,22000" +"64000,22000" +"64000,36000" +"70000,36000" +] +) +start &53 +end &42 +sat 2 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 412,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 413,0 +va (VaSet +) +xt "58000,20800,63400,22000" +st "PWM_out" +blo "58000,21800" +tm "WireNameMgr" +) +) +on &46 +) +*69 (Wire +uid 446,0 +shape (OrthoPolyLine +uid 447,0 +va (VaSet +vasetType 3 +) +xt "15000,17000,24000,31000" +pts [ +"24000,17000" +"15000,17000" +"15000,31000" +"19250,31000" +] +) +start &47 +end &30 +sat 2 +eat 32 +stc 0 +sf 1 +tg (WTG +uid 450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 451,0 +va (VaSet +) +xt "20000,15800,23200,17000" +st "down" +blo "20000,16800" +tm "WireNameMgr" +) +) +on &51 +) +*70 (Wire +uid 454,0 +shape (OrthoPolyLine +uid 455,0 +va (VaSet +vasetType 3 +) +xt "17000,19000,24000,29000" +pts [ +"24000,19000" +"17000,19000" +"17000,29000" +"19250,29000" +] +) +start &47 +end &31 +sat 2 +eat 32 +stc 0 +sf 1 +tg (WTG +uid 458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 459,0 +va (VaSet +) +xt "21000,17800,22900,19000" +st "up" +blo "21000,18800" +tm "WireNameMgr" +) +) +on &52 +) +*71 (Wire +uid 558,0 +shape (OrthoPolyLine +uid 559,0 +va (VaSet +vasetType 3 +) +xt "6000,15000,24000,37000" +pts [ +"6000,37000" +"6000,15000" +"24000,15000" +] +) +start &59 +end &47 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 562,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 563,0 +va (VaSet +) +xt "20000,13800,23400,15000" +st "clock" +blo "20000,14800" +tm "WireNameMgr" +) +) +on &2 +) +*72 (Wire +uid 566,0 +shape (OrthoPolyLine +uid 567,0 +va (VaSet +vasetType 3 +) +xt "7000,13000,24000,39000" +pts [ +"7000,39000" +"7000,13000" +"24000,13000" +] +) +start &63 +end &47 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 571,0 +va (VaSet +) +xt "20000,11800,23300,13000" +st "reset" +blo "20000,12800" +tm "WireNameMgr" +) +) +on &8 +) +*73 (Wire +uid 576,0 +optionalChildren [ +*74 (BdJunction +uid 588,0 +ps "OnConnectorStrategy" +shape (Circle +uid 589,0 +va (VaSet +vasetType 1 +) +xt "41600,21600,42400,22400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 577,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "36750,22000,48000,33000" +pts [ +"36750,33000" +"42000,33000" +"42000,22000" +"48000,22000" +] +) +start &28 +end &53 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +tg (WTG +uid 580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 581,0 +va (VaSet +) +xt "38750,31800,44150,33000" +st "countOut" +blo "38750,32800" +tm "WireNameMgr" +) +) +on &57 +) +*75 (Wire +uid 582,0 +shape (OrthoPolyLine +uid 583,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,17000,42000,22000" +pts [ +"42000,22000" +"42000,17000" +"32000,17000" +] +) +start &74 +end &47 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 587,0 +va (VaSet +) +xt "34000,15800,39400,17000" +st "countOut" +blo "34000,16800" +tm "WireNameMgr" +) +) +on &57 +) +*76 (Wire +uid 592,0 +shape (OrthoPolyLine +uid 593,0 +va (VaSet +vasetType 3 +) +xt "51000,10000,51000,14000" +pts [ +"51000,10000" +"51000,14000" +] +) +end &53 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 598,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +) +xt "49800,11500,51000,14900" +st "clock" +blo "50800,14900" +tm "WireNameMgr" +) +) +on &2 +) +*77 (Wire +uid 602,0 +shape (OrthoPolyLine +uid 603,0 +va (VaSet +vasetType 3 +) +xt "53000,10000,53000,14000" +pts [ +"53000,10000" +"53000,14000" +] +) +end &53 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 608,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 609,0 +ro 270 +va (VaSet +) +xt "51800,11600,53000,14900" +st "reset" +blo "52800,14900" +tm "WireNameMgr" +) +) +on &8 +) +*78 (Wire +uid 612,0 +shape (OrthoPolyLine +uid 613,0 +va (VaSet +vasetType 3 +) +xt "73000,29000,73000,34000" +pts [ +"73000,29000" +"73000,34000" +] +) +end &42 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 618,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 619,0 +ro 270 +va (VaSet +) +xt "71800,30500,73000,33900" +st "clock" +blo "72800,33900" +tm "WireNameMgr" +) +) +on &2 +) +*79 (Wire +uid 622,0 +shape (OrthoPolyLine +uid 623,0 +va (VaSet +vasetType 3 +) +xt "76000,29000,76000,34000" +pts [ +"76000,29000" +"76000,34000" +] +) +end &42 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 629,0 +ro 270 +va (VaSet +) +xt "74800,30600,76000,33900" +st "reset" +blo "75800,33900" +tm "WireNameMgr" +) +) +on &8 +) ] bg "65535,65535,65535" grid (Grid @@ -1353,27 +2634,31 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *37 (PackageList +packageList *80 (PackageList uid 153,0 stg "VerticalLayoutStrategy" textVec [ -*38 (Text +*81 (Text uid 154,0 va (VaSet +isHidden 1 font "Verdana,9,1" ) xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*39 (MLText +*82 (MLText uid 155,0 va (VaSet +isHidden 1 ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1382,7 +2667,7 @@ compDirBlock (MlTextGroup uid 156,0 stg "VerticalLayoutStrategy" textVec [ -*40 (Text +*83 (Text uid 157,0 va (VaSet isHidden 1 @@ -1392,7 +2677,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*41 (Text +*84 (Text uid 158,0 va (VaSet isHidden 1 @@ -1402,7 +2687,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*42 (MLText +*85 (MLText uid 159,0 va (VaSet isHidden 1 @@ -1412,7 +2697,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*43 (Text +*86 (Text uid 160,0 va (VaSet isHidden 1 @@ -1422,7 +2707,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*44 (MLText +*87 (MLText uid 161,0 va (VaSet isHidden 1 @@ -1430,7 +2715,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*45 (Text +*88 (Text uid 162,0 va (VaSet isHidden 1 @@ -1440,7 +2725,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*46 (MLText +*89 (MLText uid 163,0 va (VaSet isHidden 1 @@ -1451,12 +2736,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-6700,-18810,135990,75335" -cachedDiagramExtent "-6700,0,68100,49000" +windowSize "0,0,1715,1119" +viewArea "-6700,-9296,136052,85840" +cachedDiagramExtent "-1400,0,101100,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,0" -lastUid 267,0 +lastUid 631,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1546,7 +2831,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*47 (Text +*90 (Text va (VaSet font "Verdana,9,1" ) @@ -1555,7 +2840,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*48 (Text +*91 (Text va (VaSet font "Verdana,9,1" ) @@ -1564,7 +2849,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*49 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -1615,7 +2900,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*50 (Text +*93 (Text va (VaSet font "Verdana,9,1" ) @@ -1623,7 +2908,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*51 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -1631,7 +2916,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*52 (Text +*95 (Text va (VaSet font "Verdana,9,1" ) @@ -1680,7 +2965,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*53 (Text +*96 (Text va (VaSet font "Verdana,9,1" ) @@ -1689,7 +2974,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*54 (Text +*97 (Text va (VaSet font "Verdana,9,1" ) @@ -1698,7 +2983,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*55 (Text +*98 (Text va (VaSet font "Verdana,9,1" ) @@ -1752,7 +3037,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*56 (Text +*99 (Text va (VaSet font "Verdana,9,1" ) @@ -1760,7 +3045,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*57 (Text +*100 (Text va (VaSet font "Verdana,9,1" ) @@ -1768,7 +3053,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*58 (Text +*101 (Text va (VaSet font "Verdana,9,1" ) @@ -1813,7 +3098,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*59 (Text +*102 (Text va (VaSet font "Verdana,9,1" ) @@ -1821,7 +3106,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*60 (Text +*103 (Text va (VaSet font "Verdana,9,1" ) @@ -1829,7 +3114,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*61 (Text +*104 (Text va (VaSet font "Verdana,9,1" ) @@ -1870,7 +3155,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*62 (Text +*105 (Text va (VaSet font "Verdana,9,1" ) @@ -1879,7 +3164,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*63 (Text +*106 (Text va (VaSet font "Verdana,9,1" ) @@ -2282,7 +3567,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*64 (Text +*107 (Text va (VaSet font "Verdana,9,1" ) @@ -2290,7 +3575,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*65 (MLText +*108 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2342,7 +3627,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*109 (Text va (VaSet font "Verdana,9,1" ) @@ -2350,7 +3635,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*67 (MLText +*110 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2431,6 +3716,7 @@ stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet +isHidden 1 font "Verdana,9,1" ) xt "20000,0,27400,1200" @@ -2440,6 +3726,7 @@ blo "20000,1000" portLabel (Text uid 3,0 va (VaSet +isHidden 1 font "Verdana,9,1" ) xt "20000,1200,23700,2400" @@ -2468,11 +3755,12 @@ tm "BdDeclarativeTextMgr" diagSignalLabel (Text uid 6,0 va (VaSet +isHidden 1 font "Verdana,9,1" ) -xt "20000,8000,29500,9200" +xt "20000,1200,29500,2400" st "Diagram Signals:" -blo "20000,9000" +blo "20000,2200" ) postUserLabel (Text uid 7,0 @@ -2496,46 +3784,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 7,0 +suid 23,0 usingSuid 1 -emptyRow *68 (LEmptyRow +emptyRow *111 (LEmptyRow ) uid 166,0 optionalChildren [ -*69 (RefLabelRowHdr +*112 (RefLabelRowHdr ) -*70 (TitleRowHdr +*113 (TitleRowHdr ) -*71 (FilterRowHdr +*114 (FilterRowHdr ) -*72 (RefLabelColHdr +*115 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*73 (RowExpandColHdr +*116 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*74 (GroupColHdr +*117 (GroupColHdr tm "GroupColHdrMgr" ) -*75 (NameColHdr +*118 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*76 (ModeColHdr +*119 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*77 (TypeColHdr +*120 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*78 (BoundsColHdr +*121 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*79 (InitColHdr +*122 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*80 (EolColHdr +*123 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*81 (LeafLogPort +*124 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2548,7 +3836,7 @@ suid 3,0 ) uid 107,0 ) -*82 (LeafLogPort +*125 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2560,7 +3848,7 @@ suid 7,0 ) uid 109,0 ) -*83 (LeafLogPort +*126 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2572,7 +3860,7 @@ suid 1,0 ) uid 111,0 ) -*84 (LeafLogPort +*127 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2585,7 +3873,7 @@ suid 2,0 ) uid 113,0 ) -*85 (LeafLogPort +*128 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2597,7 +3885,7 @@ suid 4,0 ) uid 115,0 ) -*86 (LeafLogPort +*129 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2610,7 +3898,7 @@ suid 5,0 ) uid 117,0 ) -*87 (LeafLogPort +*130 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -2623,6 +3911,68 @@ suid 6,0 ) uid 119,0 ) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic_1" +t "std_uLogic" +o 8 +suid 8,0 +) +) +uid 416,0 +) +*132 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 13,0 +) +) +uid 424,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "down" +t "std_uLogic" +o 11 +suid 15,0 +) +) +uid 462,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "up" +t "std_uLogic" +o 12 +suid 16,0 +) +) +uid 464,0 +) +*135 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 12 +suid 19,0 +) +) +uid 630,0 +) ] ) pdm (PhysicalDM @@ -2630,7 +3980,7 @@ displayShortBounds 1 editShortBounds 1 uid 179,0 optionalChildren [ -*88 (Sheet +*136 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2647,74 +3997,104 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *89 (MRCItem -litem &68 -pos 7 +emptyMRCItem *137 (MRCItem +litem &111 +pos 12 dimension 20 ) uid 181,0 optionalChildren [ -*90 (MRCItem -litem &69 +*138 (MRCItem +litem &112 pos 0 dimension 20 uid 182,0 ) -*91 (MRCItem -litem &70 +*139 (MRCItem +litem &113 pos 1 dimension 23 uid 183,0 ) -*92 (MRCItem -litem &71 +*140 (MRCItem +litem &114 pos 2 hidden 1 dimension 20 uid 184,0 ) -*93 (MRCItem -litem &81 +*141 (MRCItem +litem &124 pos 0 dimension 20 uid 108,0 ) -*94 (MRCItem -litem &82 +*142 (MRCItem +litem &125 pos 1 dimension 20 uid 110,0 ) -*95 (MRCItem -litem &83 +*143 (MRCItem +litem &126 pos 2 dimension 20 uid 112,0 ) -*96 (MRCItem -litem &84 +*144 (MRCItem +litem &127 pos 3 dimension 20 uid 114,0 ) -*97 (MRCItem -litem &85 +*145 (MRCItem +litem &128 pos 4 dimension 20 uid 116,0 ) -*98 (MRCItem -litem &86 +*146 (MRCItem +litem &129 pos 5 dimension 20 uid 118,0 ) -*99 (MRCItem -litem &87 +*147 (MRCItem +litem &130 pos 6 dimension 20 uid 120,0 ) +*148 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 417,0 +) +*149 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 425,0 +) +*150 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 463,0 +) +*151 (MRCItem +litem &134 +pos 10 +dimension 20 +uid 465,0 +) +*152 (MRCItem +litem &135 +pos 11 +dimension 20 +uid 631,0 +) ] ) sheetCol (SheetCol @@ -2726,50 +4106,50 @@ textAngle 90 ) uid 185,0 optionalChildren [ -*100 (MRCItem -litem &72 +*153 (MRCItem +litem &115 pos 0 dimension 20 uid 186,0 ) -*101 (MRCItem -litem &74 +*154 (MRCItem +litem &117 pos 1 dimension 50 uid 187,0 ) -*102 (MRCItem -litem &75 +*155 (MRCItem +litem &118 pos 2 dimension 100 uid 188,0 ) -*103 (MRCItem -litem &76 +*156 (MRCItem +litem &119 pos 3 dimension 50 uid 189,0 ) -*104 (MRCItem -litem &77 +*157 (MRCItem +litem &120 pos 4 dimension 100 uid 190,0 ) -*105 (MRCItem -litem &78 +*158 (MRCItem +litem &121 pos 5 dimension 100 uid 191,0 ) -*106 (MRCItem -litem &79 +*159 (MRCItem +litem &122 pos 6 dimension 50 uid 192,0 ) -*107 (MRCItem -litem &80 +*160 (MRCItem +litem &123 pos 7 dimension 80 uid 193,0 @@ -2789,38 +4169,38 @@ uid 165,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *108 (LEmptyRow +emptyRow *161 (LEmptyRow ) uid 195,0 optionalChildren [ -*109 (RefLabelRowHdr +*162 (RefLabelRowHdr ) -*110 (TitleRowHdr +*163 (TitleRowHdr ) -*111 (FilterRowHdr +*164 (FilterRowHdr ) -*112 (RefLabelColHdr +*165 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*113 (RowExpandColHdr +*166 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*114 (GroupColHdr +*167 (GroupColHdr tm "GroupColHdrMgr" ) -*115 (NameColHdr +*168 (NameColHdr tm "GenericNameColHdrMgr" ) -*116 (TypeColHdr +*169 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*117 (InitColHdr +*170 (InitColHdr tm "GenericValueColHdrMgr" ) -*118 (PragmaColHdr +*171 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*119 (EolColHdr +*172 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2830,7 +4210,7 @@ displayShortBounds 1 editShortBounds 1 uid 207,0 optionalChildren [ -*120 (Sheet +*173 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2847,27 +4227,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *121 (MRCItem -litem &108 +emptyMRCItem *174 (MRCItem +litem &161 pos 0 dimension 20 ) uid 209,0 optionalChildren [ -*122 (MRCItem -litem &109 +*175 (MRCItem +litem &162 pos 0 dimension 20 uid 210,0 ) -*123 (MRCItem -litem &110 +*176 (MRCItem +litem &163 pos 1 dimension 23 uid 211,0 ) -*124 (MRCItem -litem &111 +*177 (MRCItem +litem &164 pos 2 hidden 1 dimension 20 @@ -2884,44 +4264,44 @@ textAngle 90 ) uid 213,0 optionalChildren [ -*125 (MRCItem -litem &112 +*178 (MRCItem +litem &165 pos 0 dimension 20 uid 214,0 ) -*126 (MRCItem -litem &114 +*179 (MRCItem +litem &167 pos 1 dimension 50 uid 215,0 ) -*127 (MRCItem -litem &115 +*180 (MRCItem +litem &168 pos 2 dimension 100 uid 216,0 ) -*128 (MRCItem -litem &116 +*181 (MRCItem +litem &169 pos 3 dimension 100 uid 217,0 ) -*129 (MRCItem -litem &117 +*182 (MRCItem +litem &170 pos 4 dimension 50 uid 218,0 ) -*130 (MRCItem -litem &118 +*183 (MRCItem +litem &171 pos 5 dimension 50 uid 219,0 ) -*131 (MRCItem -litem &119 +*184 (MRCItem +litem &172 pos 6 dimension 80 uid 220,0 diff --git a/Cursor/hds/@driver/interface b/Cursor/hds/@driver/interface index 6a286b4..616e0c4 100644 --- a/Cursor/hds/@driver/interface +++ b/Cursor/hds/@driver/interface @@ -565,7 +565,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:19:41" +value "16:07:46" ) (vvPair variable "group" @@ -637,7 +637,7 @@ value "interface" ) (vvPair variable "time" -value "15:19:41" +value "16:07:46" ) (vvPair variable "unit" @@ -1078,7 +1078,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,46000,49000" st " by %user on %dd %month %year " @@ -1700,6 +1700,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 248,0 +lastUid 409,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@main/interface b/Cursor/hds/@main/interface index 941b4bb..ac5b0b5 100644 --- a/Cursor/hds/@main/interface +++ b/Cursor/hds/@main/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 74,0 +suid 86,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,11 +67,11 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 2 -suid 63,0 +o 25 +suid 75,0 ) ) -uid 809,0 +uid 939,0 ) *15 (LogPort port (LogicalPort @@ -79,11 +79,11 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 3 -suid 64,0 +o 28 +suid 76,0 ) ) -uid 811,0 +uid 941,0 ) *16 (LogPort port (LogicalPort @@ -92,11 +92,11 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 1 -suid 65,0 +o 23 +suid 77,0 ) ) -uid 813,0 +uid 943,0 ) *17 (LogPort port (LogicalPort @@ -106,11 +106,11 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 8 -suid 66,0 +o 26 +suid 78,0 ) ) -uid 815,0 +uid 945,0 ) *18 (LogPort port (LogicalPort @@ -119,11 +119,11 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 9 -suid 67,0 +o 24 +suid 79,0 ) ) -uid 817,0 +uid 947,0 ) *19 (LogPort port (LogicalPort @@ -131,33 +131,33 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 4 -suid 68,0 +o 29 +suid 80,0 ) ) -uid 819,0 +uid 949,0 ) *20 (LogPort port (LogicalPort decl (Decl n "sensor1" t "std_uLogic" -o 5 -suid 69,0 +o 10 +suid 81,0 ) ) -uid 821,0 +uid 951,0 ) *21 (LogPort port (LogicalPort decl (Decl n "sensor2" t "std_uLogic" -o 6 -suid 70,0 +o 11 +suid 82,0 ) ) -uid 823,0 +uid 953,0 ) *22 (LogPort port (LogicalPort @@ -166,22 +166,22 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 10 -suid 71,0 +o 27 +suid 83,0 ) ) -uid 825,0 +uid 955,0 ) *23 (LogPort port (LogicalPort decl (Decl n "testMode" t "std_uLogic" -o 7 -suid 72,0 +o 12 +suid 84,0 ) ) -uid 827,0 +uid 957,0 ) *24 (LogPort port (LogicalPort @@ -190,11 +190,11 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 TO testLineNb)" -o 11 -suid 73,0 +o 21 +suid 85,0 ) ) -uid 829,0 +uid 959,0 ) *25 (LogPort port (LogicalPort @@ -203,11 +203,11 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 12 -suid 74,0 +o 26 +suid 86,0 ) ) -uid 831,0 +uid 961,0 ) ] ) @@ -263,73 +263,73 @@ uid 155,0 litem &14 pos 0 dimension 20 -uid 810,0 +uid 940,0 ) *32 (MRCItem litem &15 pos 1 dimension 20 -uid 812,0 +uid 942,0 ) *33 (MRCItem litem &16 pos 2 dimension 20 -uid 814,0 +uid 944,0 ) *34 (MRCItem litem &17 pos 3 dimension 20 -uid 816,0 +uid 946,0 ) *35 (MRCItem litem &18 pos 4 dimension 20 -uid 818,0 +uid 948,0 ) *36 (MRCItem litem &19 pos 5 dimension 20 -uid 820,0 +uid 950,0 ) *37 (MRCItem litem &20 pos 6 dimension 20 -uid 822,0 +uid 952,0 ) *38 (MRCItem litem &21 pos 7 dimension 20 -uid 824,0 +uid 954,0 ) *39 (MRCItem litem &22 pos 8 dimension 20 -uid 826,0 +uid 956,0 ) *40 (MRCItem litem &23 pos 9 dimension 20 -uid 828,0 +uid 958,0 ) *41 (MRCItem litem &24 pos 10 dimension 20 -uid 830,0 +uid 960,0 ) *42 (MRCItem litem &25 pos 11 dimension 20 -uid 832,0 +uid 962,0 ) ] ) @@ -656,7 +656,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:20:05" +value "16:07:53" ) (vvPair variable "group" @@ -728,7 +728,7 @@ value "interface" ) (vvPair variable "time" -value "14:20:05" +value "16:07:53" ) (vvPair variable "unit" @@ -763,10 +763,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *76 (CptPort -uid 749,0 +uid 879,0 ps "OnEdgeStrategy" shape (Triangle -uid 750,0 +uid 880,0 ro 90 va (VaSet vasetType 1 @@ -775,11 +775,11 @@ fg "0,65535,0" xt "14250,38625,15000,39375" ) tg (CPTG -uid 751,0 +uid 881,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 752,0 +uid 882,0 va (VaSet font "Verdana,12,0" ) @@ -790,7 +790,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 753,0 +uid 883,0 va (VaSet font "Courier New,8,0" ) @@ -804,16 +804,16 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 2 -suid 63,0 +o 25 +suid 75,0 ) ) ) *77 (CptPort -uid 754,0 +uid 884,0 ps "OnEdgeStrategy" shape (Triangle -uid 755,0 +uid 885,0 ro 90 va (VaSet vasetType 1 @@ -822,11 +822,11 @@ fg "0,65535,0" xt "14250,58625,15000,59375" ) tg (CPTG -uid 756,0 +uid 886,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 757,0 +uid 887,0 va (VaSet font "Verdana,12,0" ) @@ -837,7 +837,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 758,0 +uid 888,0 va (VaSet font "Courier New,8,0" ) @@ -850,16 +850,16 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 3 -suid 64,0 +o 28 +suid 76,0 ) ) ) *78 (CptPort -uid 759,0 +uid 889,0 ps "OnEdgeStrategy" shape (Triangle -uid 760,0 +uid 890,0 ro 90 va (VaSet vasetType 1 @@ -868,11 +868,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 761,0 +uid 891,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 762,0 +uid 892,0 va (VaSet font "Verdana,12,0" ) @@ -883,7 +883,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 763,0 +uid 893,0 va (VaSet font "Courier New,8,0" ) @@ -897,16 +897,16 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 1 -suid 65,0 +o 23 +suid 77,0 ) ) ) *79 (CptPort -uid 764,0 +uid 894,0 ps "OnEdgeStrategy" shape (Triangle -uid 765,0 +uid 895,0 ro 90 va (VaSet vasetType 1 @@ -915,11 +915,11 @@ fg "0,65535,0" xt "45000,59625,45750,60375" ) tg (CPTG -uid 766,0 +uid 896,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 767,0 +uid 897,0 va (VaSet font "Verdana,12,0" ) @@ -931,7 +931,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 768,0 +uid 898,0 va (VaSet font "Courier New,8,0" ) @@ -946,16 +946,16 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 8 -suid 66,0 +o 26 +suid 78,0 ) ) ) *80 (CptPort -uid 769,0 +uid 899,0 ps "OnEdgeStrategy" shape (Triangle -uid 770,0 +uid 900,0 ro 270 va (VaSet vasetType 1 @@ -964,11 +964,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 771,0 +uid 901,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 772,0 +uid 902,0 va (VaSet font "Verdana,12,0" ) @@ -979,7 +979,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 773,0 +uid 903,0 va (VaSet font "Courier New,8,0" ) @@ -993,16 +993,16 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 9 -suid 67,0 +o 24 +suid 79,0 ) ) ) *81 (CptPort -uid 774,0 +uid 904,0 ps "OnEdgeStrategy" shape (Triangle -uid 775,0 +uid 905,0 ro 90 va (VaSet vasetType 1 @@ -1011,11 +1011,11 @@ fg "0,65535,0" xt "14250,59625,15000,60375" ) tg (CPTG -uid 776,0 +uid 906,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 777,0 +uid 907,0 va (VaSet font "Verdana,12,0" ) @@ -1026,7 +1026,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 778,0 +uid 908,0 va (VaSet font "Courier New,8,0" ) @@ -1039,16 +1039,16 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 4 -suid 68,0 +o 29 +suid 80,0 ) ) ) *82 (CptPort -uid 779,0 +uid 909,0 ps "OnEdgeStrategy" shape (Triangle -uid 780,0 +uid 910,0 ro 90 va (VaSet vasetType 1 @@ -1057,11 +1057,11 @@ fg "0,65535,0" xt "14250,53625,15000,54375" ) tg (CPTG -uid 781,0 +uid 911,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 782,0 +uid 912,0 va (VaSet font "Verdana,12,0" ) @@ -1072,7 +1072,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 783,0 +uid 913,0 va (VaSet font "Courier New,8,0" ) @@ -1084,16 +1084,16 @@ thePort (LogicalPort decl (Decl n "sensor1" t "std_uLogic" -o 5 -suid 69,0 +o 10 +suid 81,0 ) ) ) *83 (CptPort -uid 784,0 +uid 914,0 ps "OnEdgeStrategy" shape (Triangle -uid 785,0 +uid 915,0 ro 90 va (VaSet vasetType 1 @@ -1102,11 +1102,11 @@ fg "0,65535,0" xt "14250,51625,15000,52375" ) tg (CPTG -uid 786,0 +uid 916,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 787,0 +uid 917,0 va (VaSet font "Verdana,12,0" ) @@ -1117,7 +1117,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 788,0 +uid 918,0 va (VaSet font "Courier New,8,0" ) @@ -1129,16 +1129,16 @@ thePort (LogicalPort decl (Decl n "sensor2" t "std_uLogic" -o 6 -suid 70,0 +o 11 +suid 82,0 ) ) ) *84 (CptPort -uid 789,0 +uid 919,0 ps "OnEdgeStrategy" shape (Triangle -uid 790,0 +uid 920,0 ro 90 va (VaSet vasetType 1 @@ -1147,11 +1147,11 @@ fg "0,65535,0" xt "45000,63625,45750,64375" ) tg (CPTG -uid 791,0 +uid 921,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 792,0 +uid 922,0 va (VaSet font "Verdana,12,0" ) @@ -1163,7 +1163,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 793,0 +uid 923,0 va (VaSet font "Courier New,8,0" ) @@ -1177,16 +1177,16 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 10 -suid 71,0 +o 27 +suid 83,0 ) ) ) *85 (CptPort -uid 794,0 +uid 924,0 ps "OnEdgeStrategy" shape (Triangle -uid 795,0 +uid 925,0 ro 90 va (VaSet vasetType 1 @@ -1195,11 +1195,11 @@ fg "0,65535,0" xt "14250,57625,15000,58375" ) tg (CPTG -uid 796,0 +uid 926,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 797,0 +uid 927,0 va (VaSet font "Verdana,12,0" ) @@ -1210,7 +1210,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 798,0 +uid 928,0 va (VaSet font "Courier New,8,0" ) @@ -1222,16 +1222,16 @@ thePort (LogicalPort decl (Decl n "testMode" t "std_uLogic" -o 7 -suid 72,0 +o 12 +suid 84,0 ) ) ) *86 (CptPort -uid 799,0 +uid 929,0 ps "OnEdgeStrategy" shape (Triangle -uid 800,0 +uid 930,0 ro 90 va (VaSet vasetType 1 @@ -1240,11 +1240,11 @@ fg "0,65535,0" xt "45000,7625,45750,8375" ) tg (CPTG -uid 801,0 +uid 931,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 802,0 +uid 932,0 va (VaSet font "Verdana,12,0" ) @@ -1256,7 +1256,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 803,0 +uid 933,0 va (VaSet font "Courier New,8,0" ) @@ -1270,16 +1270,16 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 TO testLineNb)" -o 11 -suid 73,0 +o 21 +suid 85,0 ) ) ) *87 (CptPort -uid 804,0 +uid 934,0 ps "OnEdgeStrategy" shape (Triangle -uid 805,0 +uid 935,0 ro 270 va (VaSet vasetType 1 @@ -1288,11 +1288,11 @@ fg "0,65535,0" xt "14250,45625,15000,46375" ) tg (CPTG -uid 806,0 +uid 936,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 807,0 +uid 937,0 va (VaSet font "Verdana,12,0" ) @@ -1303,7 +1303,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 808,0 +uid 938,0 va (VaSet font "Courier New,8,0" ) @@ -1317,8 +1317,8 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 12 -suid 74,0 +o 26 +suid 86,0 ) ) ) @@ -2029,6 +2029,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 855,0 +lastUid 962,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index d8b043f..9340470 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -166,7 +166,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "16:07:53" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "14:18:34" +value "16:07:53" ) (vvPair variable "unit" @@ -347,8 +347,7 @@ va (VaSet isHidden 1 ) xt "26000,1000,37800,2200" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) *2 (Net @@ -365,8 +364,7 @@ va (VaSet isHidden 1 ) xt "26000,-2600,37900,-1400" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *3 (Grouping @@ -754,8 +752,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-7400,6800" -st "restart : std_uLogic -" +st "restart : std_uLogic" ) ) *16 (PortIoIn @@ -828,8 +825,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-6600,6800" -st "testMode : std_uLogic -" +st "testMode : std_uLogic" ) ) *18 (PortIoOut @@ -901,8 +897,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,20600,1800" -st "testOut : std_uLogic_vector(1 TO testLineNb) -" +st "testOut : std_uLogic_vector(1 TO testLineNb)" ) ) *20 (PortIoIn @@ -975,8 +970,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor1 : std_uLogic -" +st "sensor1 : std_uLogic" ) ) *22 (PortIoIn @@ -1049,8 +1043,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor2 : std_uLogic -" +st "sensor2 : std_uLogic" ) ) *24 (PortIoOut @@ -1121,8 +1114,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10500,1800" -st "motorOn : std_uLogic -" +st "motorOn : std_uLogic" ) ) *26 (PortIoOut @@ -1193,8 +1185,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side1 : std_uLogic -" +st "side1 : std_uLogic" ) ) *28 (PortIoOut @@ -1265,8 +1256,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side2 : std_uLogic -" +st "side2 : std_uLogic" ) ) *30 (PortIoIn @@ -1339,8 +1329,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderA : std_uLogic -" +st "encoderA : std_uLogic" ) ) *32 (PortIoIn @@ -1413,8 +1402,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderB : std_uLogic -" +st "encoderB : std_uLogic" ) ) *34 (PortIoIn @@ -1487,8 +1475,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10200,1800" -st "encoderI : std_uLogic -" +st "encoderI : std_uLogic" ) ) *36 (PortIoIn @@ -1561,8 +1548,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go1 : std_uLogic -" +st "go1 : std_uLogic" ) ) *38 (PortIoIn @@ -1635,8 +1621,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go2 : std_uLogic -" +st "go2 : std_uLogic" ) ) *40 (PortIoIn @@ -1708,8 +1693,7 @@ va (VaSet isHidden 1 ) xt "0,-23800,12800,-22600" -st "button4 : std_uLogic -" +st "button4 : std_uLogic" ) ) *42 (PortIoIn @@ -1836,8 +1820,7 @@ va (VaSet isHidden 1 ) xt "0,400,12600,1600" -st "CS1_n : std_ulogic -" +st "CS1_n : std_ulogic" ) ) *45 (Net @@ -1854,8 +1837,7 @@ va (VaSet isHidden 1 ) xt "0,400,11900,1600" -st "SCL : std_ulogic -" +st "SCL : std_ulogic" ) ) *46 (Net @@ -1872,8 +1854,7 @@ va (VaSet isHidden 1 ) xt "0,400,11300,1600" -st "SI : std_ulogic -" +st "SI : std_ulogic" ) ) *47 (Net @@ -1890,8 +1871,7 @@ va (VaSet isHidden 1 ) xt "0,400,11600,1600" -st "A0 : std_ulogic -" +st "A0 : std_ulogic" ) ) *48 (Net @@ -1908,8 +1888,7 @@ va (VaSet isHidden 1 ) xt "0,400,12500,1600" -st "RST_n : std_ulogic -" +st "RST_n : std_ulogic" ) ) *49 (PortIoOut @@ -2444,8 +2423,7 @@ va (VaSet isHidden 1 ) xt "0,0,16300,1200" -st "SIGNAL RaZ : std_ulogic -" +st "SIGNAL RaZ : std_ulogic" ) ) *71 (Net @@ -2464,8 +2442,7 @@ va (VaSet isHidden 1 ) xt "0,0,24700,1200" -st "SIGNAL Position : unsigned(15 DOWNTO 0) -" +st "SIGNAL Position : unsigned(15 DOWNTO 0)" ) ) *72 (Net @@ -2484,8 +2461,7 @@ va (VaSet isHidden 1 ) xt "0,0,23700,1200" -st "SIGNAL button : unsigned(3 DOWNTO 0) -" +st "SIGNAL button : unsigned(3 DOWNTO 0)" ) ) *73 (Net @@ -2503,8 +2479,7 @@ va (VaSet isHidden 1 ) xt "0,0,16700,1200" -st "SIGNAL unlock : std_ulogic -" +st "SIGNAL unlock : std_ulogic" ) ) *74 (Net @@ -2522,8 +2497,7 @@ va (VaSet isHidden 1 ) xt "0,0,16500,1200" -st "SIGNAL SideL : std_ulogic -" +st "SIGNAL SideL : std_ulogic" ) ) *75 (Net @@ -2542,8 +2516,7 @@ va (VaSet isHidden 1 ) xt "0,0,23800,1200" -st "SIGNAL Power : unsigned(7 DOWNTO 0) -" +st "SIGNAL Power : unsigned(7 DOWNTO 0)" ) ) *76 (Net @@ -2561,8 +2534,7 @@ va (VaSet isHidden 1 ) xt "0,0,15100,1200" -st "SIGNAL clk : unsigned -" +st "SIGNAL clk : unsigned" ) ) *77 (Net @@ -2580,8 +2552,7 @@ va (VaSet isHidden 1 ) xt "0,0,15000,1200" -st "SIGNAL rst : unsigned -" +st "SIGNAL rst : unsigned" ) ) *78 (Wire @@ -3691,14 +3662,25 @@ uid 11590,0 va (VaSet vasetType 3 ) -xt "71000,66000,80000,66000" +xt "63000,62000,71000,62000" pts [ -"80000,66000" -"71000,66000" -"71000,66000" +"71000,62000" +"63000,62000" ] ) -sat 16 +start *108 (BdJunction +uid 12199,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12200,0 +va (VaSet +vasetType 1 +) +xt "70600,61600,71400,62400" +radius 400 +) +) +sat 32 eat 16 stc 0 st 0 @@ -3713,28 +3695,40 @@ uid 11596,0 va (VaSet font "Verdana,12,0" ) -xt "76000,64600,80100,66000" +xt "65000,60600,69100,62000" st "reset" -blo "76000,65800" +blo "65000,61800" tm "WireNameMgr" ) ) on &1 ) -*108 (Wire +*109 (Wire uid 11597,0 shape (OrthoPolyLine uid 11598,0 va (VaSet vasetType 3 ) -xt "71000,65000,80000,65000" +xt "62000,61000,70000,61000" pts [ -"80000,65000" -"71000,65000" +"70000,61000" +"62000,61000" ] ) -sat 16 +start *110 (BdJunction +uid 12197,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12198,0 +va (VaSet +vasetType 1 +) +xt "69600,60600,70400,61400" +radius 400 +) +) +sat 32 eat 16 stc 0 st 0 @@ -3749,15 +3743,15 @@ uid 11604,0 va (VaSet font "Verdana,12,0" ) -xt "76000,63600,79800,65000" +xt "64000,59600,67800,61000" st "clock" -blo "76000,64800" +blo "64000,60800" tm "WireNameMgr" ) ) on &2 ) -*109 (Wire +*111 (Wire uid 11631,0 shape (OrthoPolyLine uid 11632,0 @@ -3797,7 +3791,7 @@ tm "WireNameMgr" ) on &75 ) -*110 (Wire +*112 (Wire uid 11641,0 shape (OrthoPolyLine uid 11642,0 @@ -3835,7 +3829,7 @@ tm "WireNameMgr" ) on &74 ) -*111 (Wire +*113 (Wire uid 11657,0 shape (OrthoPolyLine uid 11658,0 @@ -3873,7 +3867,7 @@ tm "WireNameMgr" ) on &1 ) -*112 (Wire +*114 (Wire uid 11665,0 shape (OrthoPolyLine uid 11666,0 @@ -3910,8 +3904,11 @@ tm "WireNameMgr" ) on &2 ) -*113 (Wire +*115 (Wire uid 11915,0 +optionalChildren [ +&110 +] shape (OrthoPolyLine uid 11916,0 va (VaSet @@ -3947,8 +3944,11 @@ tm "WireNameMgr" ) on &76 ) -*114 (Wire +*116 (Wire uid 11925,0 +optionalChildren [ +&108 +] shape (OrthoPolyLine uid 11926,0 va (VaSet @@ -3996,11 +3996,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *115 (PackageList +packageList *117 (PackageList uid 42,0 stg "VerticalLayoutStrategy" textVec [ -*116 (Text +*118 (Text uid 573,0 va (VaSet font "Verdana,8,1" @@ -4009,7 +4009,7 @@ xt "24000,-12000,30500,-11100" st "Package List" blo "24000,-11300" ) -*117 (MLText +*119 (MLText uid 574,0 va (VaSet ) @@ -4025,7 +4025,7 @@ compDirBlock (MlTextGroup uid 45,0 stg "VerticalLayoutStrategy" textVec [ -*118 (Text +*120 (Text uid 46,0 va (VaSet isHidden 1 @@ -4035,7 +4035,7 @@ xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) -*119 (Text +*121 (Text uid 47,0 va (VaSet isHidden 1 @@ -4045,7 +4045,7 @@ xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) -*120 (MLText +*122 (MLText uid 48,0 va (VaSet isHidden 1 @@ -4055,7 +4055,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*121 (Text +*123 (Text uid 49,0 va (VaSet isHidden 1 @@ -4065,7 +4065,7 @@ xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) -*122 (MLText +*124 (MLText uid 50,0 va (VaSet isHidden 1 @@ -4073,7 +4073,7 @@ isHidden 1 xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*123 (Text +*125 (Text uid 51,0 va (VaSet isHidden 1 @@ -4083,7 +4083,7 @@ xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) -*124 (MLText +*126 (MLText uid 52,0 va (VaSet isHidden 1 @@ -4094,8 +4094,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "26645,-770,154315,83465" +windowSize "0,0,1715,1119" +viewArea "26600,-800,154482,84426" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4122,7 +4122,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 12067,0 +lastUid 12200,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4210,7 +4210,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*125 (Text +*127 (Text va (VaSet ) xt "2100,3000,6700,4200" @@ -4218,7 +4218,7 @@ st "" blo "2100,4000" tm "BdLibraryNameMgr" ) -*126 (Text +*128 (Text va (VaSet ) xt "2100,4200,6200,5400" @@ -4226,7 +4226,7 @@ st "" blo "2100,5200" tm "BlkNameMgr" ) -*127 (Text +*129 (Text va (VaSet ) xt "2100,5400,3300,6600" @@ -4265,21 +4265,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*128 (Text +*130 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*129 (Text +*131 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*130 (Text +*132 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -4323,7 +4323,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*131 (Text +*133 (Text va (VaSet ) xt "900,3000,3200,4000" @@ -4331,7 +4331,7 @@ st "Library" blo "900,3800" tm "BdLibraryNameMgr" ) -*132 (Text +*134 (Text va (VaSet ) xt "900,4000,6400,5000" @@ -4339,7 +4339,7 @@ st "SaComponent" blo "900,4800" tm "CptNameMgr" ) -*133 (Text +*135 (Text va (VaSet ) xt "900,5000,1500,6000" @@ -4377,21 +4377,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*134 (Text +*136 (Text va (VaSet ) xt "400,3000,2700,4000" st "Library" blo "400,3800" ) -*135 (Text +*137 (Text va (VaSet ) xt "400,4000,6500,5000" st "VhdlComponent" blo "400,4800" ) -*136 (Text +*138 (Text va (VaSet ) xt "400,5000,1000,6000" @@ -4431,21 +4431,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*137 (Text +*139 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*138 (Text +*140 (Text va (VaSet ) xt "-100,4000,7000,5000" st "VerilogComponent" blo "-100,4800" ) -*139 (Text +*141 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -4483,7 +4483,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*140 (Text +*142 (Text va (VaSet ) xt "3300,3700,4500,4700" @@ -4491,7 +4491,7 @@ st "eb1" blo "3300,4500" tm "HdlTextNameMgr" ) -*141 (Text +*143 (Text va (VaSet ) xt "3300,4700,3700,5700" @@ -4921,7 +4921,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*142 (Text +*144 (Text va (VaSet font "Verdana,8,1" ) @@ -4929,7 +4929,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*143 (MLText +*145 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -4981,7 +4981,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*144 (Text +*146 (Text va (VaSet font "Verdana,8,1" ) @@ -4989,7 +4989,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*145 (MLText +*147 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5137,44 +5137,44 @@ commonDM (CommonDM ldm (LogicalDM suid 89,0 usingSuid 1 -emptyRow *146 (LEmptyRow +emptyRow *148 (LEmptyRow ) uid 5714,0 optionalChildren [ -*147 (RefLabelRowHdr +*149 (RefLabelRowHdr ) -*148 (TitleRowHdr +*150 (TitleRowHdr ) -*149 (FilterRowHdr +*151 (FilterRowHdr ) -*150 (RefLabelColHdr +*152 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*151 (RowExpandColHdr +*153 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*152 (GroupColHdr +*154 (GroupColHdr tm "GroupColHdrMgr" ) -*153 (NameColHdr +*155 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*154 (ModeColHdr +*156 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*155 (TypeColHdr +*157 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*156 (BoundsColHdr +*158 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*157 (InitColHdr +*159 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*158 (EolColHdr +*160 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*159 (LeafLogPort +*161 (LeafLogPort port (LogicalPort decl (Decl n "reset" @@ -5185,7 +5185,7 @@ suid 1,0 ) uid 5659,0 ) -*160 (LeafLogPort +*162 (LeafLogPort port (LogicalPort decl (Decl n "clock" @@ -5196,7 +5196,7 @@ suid 2,0 ) uid 5661,0 ) -*161 (LeafLogPort +*163 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -5207,7 +5207,7 @@ suid 3,0 ) uid 5663,0 ) -*162 (LeafLogPort +*164 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -5218,7 +5218,7 @@ suid 4,0 ) uid 5665,0 ) -*163 (LeafLogPort +*165 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5231,7 +5231,7 @@ suid 5,0 ) uid 5667,0 ) -*164 (LeafLogPort +*166 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -5242,7 +5242,7 @@ suid 6,0 ) uid 5669,0 ) -*165 (LeafLogPort +*167 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -5253,7 +5253,7 @@ suid 7,0 ) uid 5671,0 ) -*166 (LeafLogPort +*168 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5265,7 +5265,7 @@ suid 10,0 ) uid 5677,0 ) -*167 (LeafLogPort +*169 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5277,7 +5277,7 @@ suid 12,0 ) uid 5681,0 ) -*168 (LeafLogPort +*170 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5289,7 +5289,7 @@ suid 13,0 ) uid 5683,0 ) -*169 (LeafLogPort +*171 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -5300,7 +5300,7 @@ suid 14,0 ) uid 5685,0 ) -*170 (LeafLogPort +*172 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -5311,7 +5311,7 @@ suid 15,0 ) uid 5687,0 ) -*171 (LeafLogPort +*173 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -5322,7 +5322,7 @@ suid 16,0 ) uid 5689,0 ) -*172 (LeafLogPort +*174 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -5333,7 +5333,7 @@ suid 17,0 ) uid 5691,0 ) -*173 (LeafLogPort +*175 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -5344,7 +5344,7 @@ suid 18,0 ) uid 5693,0 ) -*174 (LeafLogPort +*176 (LeafLogPort port (LogicalPort decl (Decl n "button4" @@ -5355,7 +5355,7 @@ suid 47,0 ) uid 7302,0 ) -*175 (LeafLogPort +*177 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5367,7 +5367,7 @@ suid 68,0 ) uid 10024,0 ) -*176 (LeafLogPort +*178 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5379,7 +5379,7 @@ suid 69,0 ) uid 10026,0 ) -*177 (LeafLogPort +*179 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5391,7 +5391,7 @@ suid 70,0 ) uid 10028,0 ) -*178 (LeafLogPort +*180 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5403,7 +5403,7 @@ suid 71,0 ) uid 10030,0 ) -*179 (LeafLogPort +*181 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5415,7 +5415,7 @@ suid 72,0 ) uid 10032,0 ) -*180 (LeafLogPort +*182 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5428,7 +5428,7 @@ suid 78,0 ) uid 11581,0 ) -*181 (LeafLogPort +*183 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5442,7 +5442,7 @@ suid 79,0 ) uid 11583,0 ) -*182 (LeafLogPort +*184 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5456,7 +5456,7 @@ suid 81,0 ) uid 11585,0 ) -*183 (LeafLogPort +*185 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5469,7 +5469,7 @@ suid 83,0 ) uid 11587,0 ) -*184 (LeafLogPort +*186 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5482,7 +5482,7 @@ suid 86,0 ) uid 11653,0 ) -*185 (LeafLogPort +*187 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5496,7 +5496,7 @@ suid 87,0 ) uid 11655,0 ) -*186 (LeafLogPort +*188 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5509,7 +5509,7 @@ suid 88,0 ) uid 11935,0 ) -*187 (LeafLogPort +*189 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5529,7 +5529,7 @@ displayShortBounds 1 editShortBounds 1 uid 5727,0 optionalChildren [ -*188 (Sheet +*190 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5546,202 +5546,202 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *189 (MRCItem -litem &146 -pos 27 +emptyMRCItem *191 (MRCItem +litem &148 +pos 29 dimension 20 ) uid 5729,0 optionalChildren [ -*190 (MRCItem -litem &147 +*192 (MRCItem +litem &149 pos 0 dimension 20 uid 5730,0 ) -*191 (MRCItem -litem &148 +*193 (MRCItem +litem &150 pos 1 dimension 23 uid 5731,0 ) -*192 (MRCItem -litem &149 +*194 (MRCItem +litem &151 pos 2 hidden 1 dimension 20 uid 5732,0 ) -*193 (MRCItem -litem &159 +*195 (MRCItem +litem &161 pos 0 dimension 20 uid 5660,0 ) -*194 (MRCItem -litem &160 +*196 (MRCItem +litem &162 pos 1 dimension 20 uid 5662,0 ) -*195 (MRCItem -litem &161 +*197 (MRCItem +litem &163 pos 2 dimension 20 uid 5664,0 ) -*196 (MRCItem -litem &162 +*198 (MRCItem +litem &164 pos 3 dimension 20 uid 5666,0 ) -*197 (MRCItem -litem &163 +*199 (MRCItem +litem &165 pos 4 dimension 20 uid 5668,0 ) -*198 (MRCItem -litem &164 +*200 (MRCItem +litem &166 pos 5 dimension 20 uid 5670,0 ) -*199 (MRCItem -litem &165 +*201 (MRCItem +litem &167 pos 6 dimension 20 uid 5672,0 ) -*200 (MRCItem -litem &166 +*202 (MRCItem +litem &168 pos 7 dimension 20 uid 5678,0 ) -*201 (MRCItem -litem &167 +*203 (MRCItem +litem &169 pos 8 dimension 20 uid 5682,0 ) -*202 (MRCItem -litem &168 +*204 (MRCItem +litem &170 pos 9 dimension 20 uid 5684,0 ) -*203 (MRCItem -litem &169 +*205 (MRCItem +litem &171 pos 10 dimension 20 uid 5686,0 ) -*204 (MRCItem -litem &170 +*206 (MRCItem +litem &172 pos 11 dimension 20 uid 5688,0 ) -*205 (MRCItem -litem &171 +*207 (MRCItem +litem &173 pos 12 dimension 20 uid 5690,0 ) -*206 (MRCItem -litem &172 +*208 (MRCItem +litem &174 pos 13 dimension 20 uid 5692,0 ) -*207 (MRCItem -litem &173 +*209 (MRCItem +litem &175 pos 14 dimension 20 uid 5694,0 ) -*208 (MRCItem -litem &174 +*210 (MRCItem +litem &176 pos 15 dimension 20 uid 7301,0 ) -*209 (MRCItem -litem &175 +*211 (MRCItem +litem &177 pos 16 dimension 20 uid 10025,0 ) -*210 (MRCItem -litem &176 +*212 (MRCItem +litem &178 pos 17 dimension 20 uid 10027,0 ) -*211 (MRCItem -litem &177 +*213 (MRCItem +litem &179 pos 18 dimension 20 uid 10029,0 ) -*212 (MRCItem -litem &178 +*214 (MRCItem +litem &180 pos 19 dimension 20 uid 10031,0 ) -*213 (MRCItem -litem &179 +*215 (MRCItem +litem &181 pos 20 dimension 20 uid 10033,0 ) -*214 (MRCItem -litem &180 +*216 (MRCItem +litem &182 pos 21 dimension 20 uid 11582,0 ) -*215 (MRCItem -litem &181 +*217 (MRCItem +litem &183 pos 22 dimension 20 uid 11584,0 ) -*216 (MRCItem -litem &182 +*218 (MRCItem +litem &184 pos 23 dimension 20 uid 11586,0 ) -*217 (MRCItem -litem &183 +*219 (MRCItem +litem &185 pos 24 dimension 20 uid 11588,0 ) -*218 (MRCItem -litem &184 +*220 (MRCItem +litem &186 pos 25 dimension 20 uid 11654,0 ) -*219 (MRCItem -litem &185 +*221 (MRCItem +litem &187 pos 26 dimension 20 uid 11656,0 ) -*220 (MRCItem -litem &186 +*222 (MRCItem +litem &188 pos 27 dimension 20 uid 11936,0 ) -*221 (MRCItem -litem &187 +*223 (MRCItem +litem &189 pos 28 dimension 20 uid 11938,0 @@ -5757,50 +5757,50 @@ textAngle 90 ) uid 5733,0 optionalChildren [ -*222 (MRCItem -litem &150 +*224 (MRCItem +litem &152 pos 0 dimension 20 uid 5734,0 ) -*223 (MRCItem -litem &152 +*225 (MRCItem +litem &154 pos 1 dimension 50 uid 5735,0 ) -*224 (MRCItem -litem &153 +*226 (MRCItem +litem &155 pos 2 dimension 100 uid 5736,0 ) -*225 (MRCItem -litem &154 +*227 (MRCItem +litem &156 pos 3 dimension 50 uid 5737,0 ) -*226 (MRCItem -litem &155 +*228 (MRCItem +litem &157 pos 4 dimension 100 uid 5738,0 ) -*227 (MRCItem -litem &156 +*229 (MRCItem +litem &158 pos 5 dimension 100 uid 5739,0 ) -*228 (MRCItem -litem &157 +*230 (MRCItem +litem &159 pos 6 dimension 50 uid 5740,0 ) -*229 (MRCItem -litem &158 +*231 (MRCItem +litem &160 pos 7 dimension 80 uid 5741,0 @@ -5820,41 +5820,41 @@ uid 5713,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *230 (LEmptyRow +emptyRow *232 (LEmptyRow ) uid 5743,0 optionalChildren [ -*231 (RefLabelRowHdr +*233 (RefLabelRowHdr ) -*232 (TitleRowHdr +*234 (TitleRowHdr ) -*233 (FilterRowHdr +*235 (FilterRowHdr ) -*234 (RefLabelColHdr +*236 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*235 (RowExpandColHdr +*237 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*236 (GroupColHdr +*238 (GroupColHdr tm "GroupColHdrMgr" ) -*237 (NameColHdr +*239 (NameColHdr tm "GenericNameColHdrMgr" ) -*238 (TypeColHdr +*240 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*239 (InitColHdr +*241 (InitColHdr tm "GenericValueColHdrMgr" ) -*240 (PragmaColHdr +*242 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*241 (EolColHdr +*243 (EolColHdr tm "GenericEolColHdrMgr" ) -*242 (LogGeneric +*244 (LogGeneric generic (GiElement name "position1" type "positive" @@ -5862,7 +5862,7 @@ value "32000" ) uid 7215,0 ) -*243 (LogGeneric +*245 (LogGeneric generic (GiElement name "position2" type "positive" @@ -5870,7 +5870,7 @@ value "64000" ) uid 7217,0 ) -*244 (LogGeneric +*246 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -5878,7 +5878,7 @@ value "16" ) uid 7816,0 ) -*245 (LogGeneric +*247 (LogGeneric generic (GiElement name "position0" type "positive" @@ -5886,7 +5886,7 @@ value "128" ) uid 8607,0 ) -*246 (LogGeneric +*248 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -5894,7 +5894,7 @@ value "6" ) uid 10209,0 ) -*247 (LogGeneric +*249 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -5907,7 +5907,7 @@ uid 10538,0 pdm (PhysicalDM uid 5755,0 optionalChildren [ -*248 (Sheet +*250 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5924,64 +5924,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *249 (MRCItem -litem &230 +emptyMRCItem *251 (MRCItem +litem &232 pos 6 dimension 20 ) uid 5757,0 optionalChildren [ -*250 (MRCItem -litem &231 +*252 (MRCItem +litem &233 pos 0 dimension 20 uid 5758,0 ) -*251 (MRCItem -litem &232 +*253 (MRCItem +litem &234 pos 1 dimension 23 uid 5759,0 ) -*252 (MRCItem -litem &233 +*254 (MRCItem +litem &235 pos 2 hidden 1 dimension 20 uid 5760,0 ) -*253 (MRCItem -litem &242 +*255 (MRCItem +litem &244 pos 1 dimension 20 uid 7214,0 ) -*254 (MRCItem -litem &243 +*256 (MRCItem +litem &245 pos 2 dimension 20 uid 7216,0 ) -*255 (MRCItem -litem &244 +*257 (MRCItem +litem &246 pos 5 dimension 20 uid 7815,0 ) -*256 (MRCItem -litem &245 +*258 (MRCItem +litem &247 pos 0 dimension 20 uid 8606,0 ) -*257 (MRCItem -litem &246 +*259 (MRCItem +litem &248 pos 3 dimension 20 uid 10208,0 ) -*258 (MRCItem -litem &247 +*260 (MRCItem +litem &249 pos 4 dimension 20 uid 10537,0 @@ -5997,44 +5997,44 @@ textAngle 90 ) uid 5761,0 optionalChildren [ -*259 (MRCItem -litem &234 +*261 (MRCItem +litem &236 pos 0 dimension 20 uid 5762,0 ) -*260 (MRCItem -litem &236 +*262 (MRCItem +litem &238 pos 1 dimension 50 uid 5763,0 ) -*261 (MRCItem -litem &237 +*263 (MRCItem +litem &239 pos 2 dimension 100 uid 5764,0 ) -*262 (MRCItem -litem &238 +*264 (MRCItem +litem &240 pos 3 dimension 100 uid 5765,0 ) -*263 (MRCItem -litem &239 +*265 (MRCItem +litem &241 pos 4 dimension 50 uid 5766,0 ) -*264 (MRCItem -litem &240 +*266 (MRCItem +litem &242 pos 5 dimension 50 uid 5767,0 ) -*265 (MRCItem -litem &241 +*267 (MRCItem +litem &243 pos 6 dimension 80 uid 5768,0